Engineering:Itanium

From HandWiki
Short description: Family of 64-bit Intel microprocessors
Itanium
Intel Itanium logo.svg
General Info
LaunchedJune 2001; 22 years ago (2001-06)[lower-alpha 1]
DiscontinuedJanuary 30, 2020; 4 years ago (2020-01-30)[1]
Common manufacturer(s)
Performance
Max. CPU clock rate733 MHz to 2.66 GHz
FSB speeds266 MT/s to 6.4 GT/s
Architecture and classification
Instruction setItanium
Physical specifications
Cores
  • 1, 2, 4 or 8
History

Itanium (/ˈtniəm/ eye-TAY-nee-əm) is a discontinued family of 64-bit Intel microprocessors that implement the Intel Itanium architecture (formerly called IA-64). Launched in June 2001, Intel marketed the processors for enterprise servers and high-performance computing systems. The Itanium architecture originated at Hewlett-Packard (HP), and was later jointly developed by HP and Intel.

Itanium-based systems were produced by HP/Hewlett Packard Enterprise (HPE) (the HPE Integrity Servers line) and several other manufacturers. In 2008, Itanium was the fourth-most deployed microprocessor architecture for enterprise-class systems, behind x86-64, Power ISA, and SPARC.Cite error: Closing </ref> missing for <ref> tag[6] It was used exclusively in mission-critical servers from Hewlett Packard Enterprise.

In 2019, Intel announced that new orders for Itanium would be accepted until January 30, 2020, and shipments would cease by July 29, 2021.[1] This took place on schedule.[7]

History

Development: 1989–2000

Inception: 1989–1994

In 1989 HP started to research an architecture that would exceed the expected limits of the reduced instruction set computer (RISC) architectures caused by the great increase in complexity needed for executing multiple instructions per cycle due to the need for dynamic dependency checking and precise exception handling.[lower-alpha 2] HP hired Bob Rau of Cydrome and Josh Fisher of Multiflow, the pioneers of very long instruction word (VLIW) computing. One VLIW instruction word can contain several independent instructions, which can be executed in parallel without having to evaluate them for independence. A compiler must attempt to find valid combinations of instructions that can be executed at the same time, effectively performing the instruction scheduling that conventional superscalar processors must do in hardware at runtime.

HP researchers modified the classic VLIW into a new type of architecture, later named Explicitly Parallel Instruction Computing (EPIC), which differs by: having template bits which show which instructions are independent inside and between the bundles of three instructions, which enables the explicitly parallel execution of multiple bundles and increasing the processors' issue width without the need to recompile; by predication of instructions to reduce the need for branches; and by full interlocking to eliminate the delay slots. In EPIC the assignment of execution units to instructions and the timing of their issuing can be decided by hardware, unlike in the classic VLIW. HP intended to use these features in PA-WideWord, the planned successor to their PA-RISC ISA. EPIC was intended to provide the best balance between the efficient use silicon area and electricity, and the general-purpose flexibility.[9][10] In 1993 HP held an internal competition to design the best (simulated) microarchitectures of a RISC and an EPIC type, led by Jerry Huck and Rajiv Gupta respectively. The EPIC team won, with over double the simulated performance of the RISC competitor.[11]

At the same time Intel was also looking for ways to make better ISAs. In 1989 Intel had launched the i860, which it marketed for workstations, servers, and iPSC and Paragon supercomputers. It differed from other RISCs by being able to switch between the normal single instruction per cycle mode, and a mode where pairs of instructions are explicitly defined as parallel so as to execute them in the same cycle without having to do dependency checking. Another distinguishing feature were the instructions for an exposed floating-point pipeline, that enabled the tripling of throughput compared to the conventional floating-point instructions. Both of these features were left largely unused because compilers didn't support them, a problem that later challenged Itanium too. Without them, i860's parallelism (and thus performance) was no better than other RISCs, so it failed in the market. Itanium would adopt a more flexible form of explicit parallelism than i860 had.[12]

In November 1993 HP approached Intel, seeking collaboration on an innovative future architecture.[13][15] At the time Intel was looking to extend x86 to 64 bits in a processor codenamed P7, which they found challenging.[16] Later Intel claimed that four different design teams had explored 64-bit extensions, but each of them concluded that it was not economically feasible.[17] At the meeting with HP, Intel's engineers were impressed when Jerry Huck and Rajiv Gupta presented the PA-WideWord architecture they had designed to replace PA-RISC. "When we saw WideWord, we saw a lot of things we had only been looking at doing, already in their full glory", said Intel's John Crawford, who in 1994 became the chief architect of Merced, and who had earlier argued against extending the x86 with P7. HP's Gupta recalled: "I looked Albert Yu [Intel's general manager for microprocessors] in the eyes and showed him we could run circles around PowerPC, that we could kill PowerPC, that we could kill the x86."[18] Soon Intel and HP started conducting in-depth technical discussions at a HP office, where each side had six[21] engineers who exchanged and discussed both companies' confidential architectural research. They then decided to use not only PA-WideWord, but also the more experimental HP Labs PlayDoh as the source of their joint future architecture.[8][22] Convinced of the superiority of the new project, in 1994 Intel canceled their existing plans for P7.

In June 1994 Intel and HP announced their joint effort to make a new ISA that would adopt ideas of Wide Word and VLIW. Yu declared: "If I were competitors, I'd be really worried. If you think you have a future, you don't."[18] On P7's future Intel said the alliance would impact it, but "it is not clear" whether it "will fully encompass the new architecture".[23][24] Later the same month Intel said that some of the first features of the new architecture will start appearing on Intel chips as early as the P7, but the full version will appear sometime later.[25] In August 1994 EE Times reported that Intel told investors that P7 was being re-evaluated and possibly canceled in favor of the HP processor. Intel immediately issued a clarification, saying that P7 is still being defined, and that HP may contribute to its architecture. Later it was confirmed that the P7 codename had indeed passed to the HP-Intel processor. By early 1996 Intel revealed its new codename, Merced.[26][27]

HP believed that it was no longer cost-effective for individual enterprise systems companies such as itself to develop proprietary microprocessors, so it partnered with Intel in 1994 to develop the IA-64 architecture, derived from EPIC. Intel was willing to undertake the very large development effort on IA-64 in the expectation that the resulting microprocessor would be used by the majority of enterprise systems manufacturers. HP and Intel initiated a large joint development effort with a goal of delivering the first product, Merced, in 1998.[10]

Design and delays: 1994–2001

Merced was designed by a team of 500, which Intel later admitted was too inexperienced, with many recent college graduates. Crawford (Intel) was the chief architect, while Huck (HP) held the second position. Early in the development HP and Intel had a disagreement where Intel wanted more dedicated hardware for more floating-point instructions. HP prevailed upon the discovery of a floating-point hardware bug in Intel's Pentium. When Merced was floorplanned for the first time in mid-1996, it turned out to be far too large, "this was a lot worse than anything I'd seen before", said Crawford. The designers had to reduce the complexity (and thus performance) of subsystems, including the x86 unit and cutting the L2 cache to 96 KB.[lower-alpha 3] Eventually it was agreed that the size target could only be reached by using the 180 nm process instead of the intended 250 nm. Later problems emerged with attempts to speed up the critical paths without disturbing the other circuits' speed. Merced was taped out on 4 July 1999, and in August Intel produced the first complete test chip.[18]

The expectations for Merced waned over time as delays and performance deficiencies emerged, shifting the focus and onus for success onto the HP-led second Itanium design, codenamed McKinley. In July 1997 the switch to the 180 nm process delayed Merced into the second half of 1999.[28] Shortly before the reveal of EPIC at the Microprocessor Forum in October 1997, an analyst of the Microprocessor Report said that Itanium "will not show the competitive performance until 2001. It will take the second version of the chip for the performance to get shown".[29] At the Forum, Intel's Fred Pollack originated the "wait for McKinley" mantra when he said that it will double the Merced's performance and will "knock your socks off",[30][31] while using the same 180 nm process as Merced.[32] Pollack also said that Merced's x86 performance will be lower than the fastest x86 processors, and that x86 "will continue to grow at its historical rates".[30] Intel said that IA-64 won't have much presence in the consumer market for 5 to 10 years.[33]

Later it was reported that HP's motivation when starting to design McKinley in 1996 was to have more control over the project so as to avoid the issues affecting Merced's performance and schedule.[34][35] The design team finalized McKinley's project goals in 1997.[36] In late May 1998 Merced was delayed to mid-2000, and by August 1998 analysts were questioning its commercial viability, given that McKinley would arrive shortly after with double the performance, as delays were causing Merced to turn into simply a development vehicle for the Itanium ecosystem. The "wait for McKinley" narrative was becoming prevalent.[37] The same day it was reported that due to the delays, HP will extend its line of PA-RISC PA-8000 series processors from PA-8500 to as far as PA-8900.[38] In October 1998 HP announced its plans for four more generations of PA-RISC processors, with PA-8900 set to reach 1.2 GHz in 2003.[39]

By March 1999 some analysts expected Merced to ship in volume only in 2001, but the volume was widely expected to be low as most customers would wait for McKinley.[34] In May 1999, two months before Merced's tape-out, an analyst said that failure to tape-out before July will result in another delay.[40] In July 1999, upon reports that the first silicon will be made in late August, analysts predicted a delay to late 2000, and came into agreement that Merced will be used chiefly for debugging and testing the IA-64 software. Linley Gwennap of MPR said of Merced that "at this point, everyone is expecting it's going to be late and slow, and the real advance is going to come from McKinley. What this does is puts a lot more pressure on McKinley and for that team to deliver".[41] By then Intel had revealed that Merced will be initially priced at $5000.[42] In August 1999 HP advised some of their customers to skip Merced and wait for McKinley.[43] By July 2000 HP was telling the press that the first Itanium systems will be for niche uses, and that "You're not going to put this stuff near your data center for several years.", HP expecting its Itanium systems to outsell the PA-RISC systems only in 2005.[44] The same July Intel told of another delay, due to a stepping change to fix bugs. Now only "pilot systems" would ship that year, while the general availbility was pushed to the "first half of 2001". Server makers had largely forgone spending on the R&D for the Merced-based systems, instead using motherboards or whole servers of Intel's design. To foster a wide ecosystem, by mid-2000 Intel had provided 15,000 Itaniums in 5,000 systems to software developers and hardware designers.[45] In March 2001 Intel said Itanium systems would begin shipping to customers in the second quarter, followed by a broader deployment in the second half of the year. By then even Intel publicly acknowledged that many customers will wait for McKinley.[46]

Itanium Server Sales forecast history[47][48]

Expectations

During development, Intel, HP, and industry analysts predicted that IA-64 would dominate first in 64-bit servers and workstations, then expand to the lower-end servers, supplanting Xeon, and finally penetrate into the personal computers, eventually to supplant RISC and complex instruction set computing (CISC) architectures for all general-purpose applications, though not replacing x86 "for the foreseeable future" according to Intel.[49][11][50][51][52][53] In 1997-1998 Intel CEO Andy Grove predicted that Itanium will not come to the desktop computers for four of five years after launch, and said "I don't see Merced appearing on a mainstream desktop inside of a decade".[54][11] In contrast, Itanium was expected to capture 70% of the 64-bit server market in 2002.[55] Already in 1998 Itanium's focus on the high end of the computer market was criticized for making it vulnerable to challengers expanding from the lower-end market segments, but many people in the computer industry feared voicing doubts about Itanium in the fear of Intel's retaliation.[11] Compaq and Silicon Graphics decided to abandon further development of the Alpha and MIPS architectures respectively in favor of migrating to IA-64.[56]

Several groups ported operating systems for the architecture, including Microsoft Windows, OpenVMS, Linux, HP-UX, Solaris,[57][58][59] Tru64 UNIX,[56] and Monterey/64.[60] The latter three were canceled before reaching the market. By 1997, it was apparent that the IA-64 architecture and the compiler were much more difficult to implement than originally thought, and the delivery timeframe of Merced began slipping.[41]

Intel announced the official name of the processor, Itanium, on October 4, 1999.[61] Within hours, the name Itanic had been coined on a Usenet newsgroup, a reference to the RMS Titanic, the "unsinkable" ocean liner that sank on her maiden voyage in 1912.[62] "Itanic" was then used often by The Register,[63] and others,[64][65][66] to imply that the multibillion-dollar investment in Itanium—and the early hype associated with it—would be followed by its relatively quick demise.

Itanium (Merced): 2001

Itanium (Merced)
KL Intel Itanium ES.jpg
Itanium processor
General Info
Launched29 May–June 2001
Discontinued10 April 2003[67]
Common manufacturer(s)
  • Intel
Performance
Max. CPU clock rate733  to 800 MHz
FSB speeds266 MT/s
Cache
L2 cache96 KB
L3 cache2 or 4 MB
Physical specifications
Cores
  • 1
Socket(s)
  • PAC418
History

After having sampled 40,000 chips to the partners, Intel launched Itanium on May 29, 2001, with first OEM systems from HP, IBM and Dell shipping to customers in June.[68][69] By then Itanium's performance was not superior to competing RISC and CISC processors.[70] Itanium competed at the low-end (primarily four-CPU and smaller systems) with servers based on x86 processors, and at the high-end with IBM POWER and Sun Microsystems SPARC processors. Intel repositioned Itanium to focus on the high-end business and HPC computing markets, attempting to duplicate the x86's successful "horizontal" market (i.e., single architecture, multiple systems vendors). The success of this initial processor version was limited to replacing the PA-RISC in HP systems, Alpha in Compaq systems and MIPS in SGI systems, though IBM also delivered a supercomputer based on this processor.[71] POWER and SPARC remained strong, while the 32-bit x86 architecture continued to grow into the enterprise space, building on the economies of scale fueled by its enormous installed base.

Only a few thousand systems using the original Merced Itanium processor were sold, due to relatively poor performance, high cost and limited software availability.[72] Recognizing that the lack of software could be a serious problem for the future, Intel made thousands of these early systems available to independent software vendors (ISVs) to stimulate development. HP and Intel brought the next-generation Itanium 2 processor to the market a year later. Few of the microarchitectural features of Merced would be carried over to all the subsequent Itanium designs, including the 16+16 KB L1 cache size and the 6-wide (two-bundle) instruction decoding.

Itanium 2 (McKinley and Madison): 2002–2005

Itanium 2 (McKinley and Madison)
KL Intel Itanium2.jpg
Itanium 2 processor
General Info
Launched8 July 2002
Discontinued16 November 2007[76]
Designed byHP and Intel
Product codeMcKinley, Madison, Deerfield, Madison 9M, Fanwood
Performance
Max. CPU clock rate900  to 1667 MHz
FSB speeds400  to 667 MT/s
Cache
L2 cache256 KB
L3 cache1.5–9 MB
Architecture and classification
Min. feature size180 nm to 130 nm
Physical specifications
Cores
  • 1
Socket(s)
  • PAC611
History

The Itanium 2 processor was released in July 2002, and was marketed for enterprise servers rather than for the whole gamut of high-end computing. The first Itanium 2, code-named McKinley, was jointly developed by HP and Intel, led by the HP team at Fort Collins, Colorado, taping out in December 2000. It relieved many of the performance problems of the original Itanium processor, which were mostly caused by an inefficient memory subsystem by approximately halving the latency and doubling the fill bandwidth of each of the three levels of cache, while expanding the L2 cache from 96 to 256 KB. Floating-point data is excluded from the L1 cache, because the L2 cache's higher bandwidth is more beneficial to typical floating-point applications than low latency. The L3 cache was now integrated on-chip, tripling in associativity and doubling in bus width. McKinley also greatly increases the number of possible instruction combinations in a VLIW-bundle and reaches 25% higher frequency, despite having only eight pipeline stages versus Merced's ten.[77][36]

McKinley contains 221 million transistors (of which 25 million are for logic and 181 million for L3 cache), measured 19.5 mm by 21.6 mm (421 mm2) and was fabricated in a 180 nm, bulk CMOS process with six layers of aluminium metallization.[78][79][80] In May 2003 it was disclosed that some McKinley processors can suffer from a critical-path erratum leading to a system's crashing. It can be avoided by lowering the processor frequency to 800 MHz.[81]

In 2003, AMD released the Opteron CPU, which implements its own 64-bit architecture called AMD64. The Opteron gained rapid acceptance in the enterprise server space because it provided an easy upgrade from x86. Under the influence of Microsoft, Intel responded by implementing AMD's x86-64 instruction set architecture instead of IA-64 in its Xeon microprocessors in 2004, resulting in a new industry-wide de facto standard.[56]

In 2003 Intel released a new Itanium 2 family member, codenamed Madison, initially with up to 1.5 GHz frequency and 6 MB of L3 cache. The Madison 9M chip released in November 2004 had 9 MB of L3 cache and frequency up to 1.6 GHz, reaching 1.67 GHz in July 2005. Both chips used a 130 nm process and were the basis of all new Itanium processors until Montecito was released in July 2006, specifically Deerfield being a low wattage Madison, and Fanwood being a version of Madison 9M for lower-end servers with one or two CPU sockets.

In November 2005, the major Itanium server manufacturers joined with Intel and a number of software vendors to form the Itanium Solutions Alliance to promote the architecture and accelerate the software porting effort.[82] The Alliance announced that its members would invest $10 billion in the Itanium Solutions Alliance by the end of the decade.[83]

Itanium 2 9000 and Itanium 9100: 2006–2007

9000 and 9100 series
Intel Itanium 2 9000 with cap removed.jpg
Intel Itanium 2 9000 (Heat spreader removed)
General Info
Launched18 July 2006
Discontinued26 August 2011[84]
Product codeMontecito, Montvale
Performance
Max. CPU clock rate1.4 GHz to 1.67 GHz
FSB speeds400  to 667 MT/s
Cache
L2 cache256 KB (D) + 1 MB (I)
L3 cache6–24 MB
Architecture and classification
Min. feature size90 nm
Physical specifications
Cores
  • 1 or 2
Socket(s)
  • PAC611
History
Main page: Engineering:Montecito (processor)

In early 2003, due to the success of IBM's dual-core POWER4, Intel announced that the first 90 nm Itanium processor, codenamed Montecito, will be delayed to 2005 so as to change it into a dual-core, thus merging it with the Chivano project.[85][86] In September 2004 Intel demonstrated a working Montecito system, and claimed that the inclusion of hyper-threading increases Montecito's performance by 10-20% and that its frequency could reach 2 GHz.[87][88] After a delay to "mid-2006" and reduction of the frequency to 1.6 GHz,[89] on July 18 Intel delivered Montecito (marketed as the Itanium 2 9000 series), a dual-core processor with a switch-on-event multithreading and split 256 KB + 1 MB L2 caches that roughly doubled the performance and decreased the energy consumption by about 20 percent.[90] At 596 mm² die size and 1720 million transistors it was the largest microprocessor at the time. It was supposed to feature Foxton Technology, a very sophisticated frequency regulator, which failed to pass validation and was thus not enabled for customers.

Intel released the Itanium 9100 series, codenamed Montvale, in November 2007, retiring the "Itanium 2" brand.[91] Originally intended to use the 65 nm process,[92] it was changed into a fix of Montecito, enabling the demand-based switching (like EIST) and up to 667 MT/s front-side bus, which were intended for Montecito, plus a core-level lockstep.[87] Montecito and Montvale were the last Itanium processors in which design Hewlett-Packard's engineering team at Fort Collins had a key role, as the team was subsequently transferred to Intel's ownership.[93]

Itanium 9300 (Tukwila): 2010

9300 series
General Info
Launched8 February 2010
Discontinued2nd quarter of 2014
Performance
Max. CPU clock rate1.33  to 1.73 GHz
Cache
L2 cache256 KB (D) + 512 KB (I)
L3 cache10–24 MB
Architecture and classification
Min. feature size65 nm
Physical specifications
Cores
  • 2 or 4
Socket(s)
  • FC-LGA6 (LGA1248)
History
9500 and 9700 series
General Info
Launched8 November 2012
Discontinued30 January 2020[94]
Product codePoulson, Kittson
Performance
Max. CPU clock rate1.73  to 2.67 GHz
Cache
L2 cache256 KB (D) + 512 KB (I)
L3 cache20–32 MB
Architecture and classification
Min. feature size32 nm
Physical specifications
Cores
  • 4 or 8
Socket(s)
  • FC-LGA6 (LGA1248)
History
Intel Itanium 9300 CPU
Intel Itanium 9300 CPU LGA
Intel Itanium 9300 Socket Intel LGA 1248
Intel Itanium 9300 with cap removed
Main page: Engineering:Tukwila (processor)

The original code name for the first Itanium with more than two cores was Tanglewood, but it was changed to Tukwila in late 2003 due to trademark issues.[95][96] Intel discussed a "middle-of-the-decade Itanium" to succeed Montecito, achieving ten times the performance of Madison.[97][86] It was being designed by the famed DEC Alpha team and was expected have eight new multithreading-focused cores. Intel claimed "a lot more than two" cores and more than seven times the performance of Madison.[98][99][100] In early 2004 Intel told of "plans to achieve up to double the performance over the Intel Xeon processor family at platform cost parity by 2007".[101] By early 2005 Tukwila was redefined, now having fewer cores but focusing on single-threaded performance and multiprocessor scalability.[102]

In March 2005, Intel disclosed some details of Tukwila, the next Itanium processor after Montvale, to be released in 2007. Tukwila would have four processor cores and would replace the Itanium bus with a new Common System Interface, which would also be used by a new Xeon processor.[103] Tukwila was to have a "common platform architecture" with a Xeon codenamed Whitefield,[92] which was canceled in October 2005,[104] when Intel revised Tukwila's delivery date to late 2008.[105] In May 2009, the schedule for Tukwila, was revised again, with the release to OEMs planned for the first quarter of 2010.[106] The Itanium 9300 series processor, codenamed Tukwila, was released on February 8, 2010, with greater performance and memory capacity.[107]

The device uses a 65 nm process, includes two to four cores, up to 24 MB on-die caches, Hyper-Threading technology and integrated memory controllers. It implements double-device data correction, which helps to fix memory errors. Tukwila also implements Intel QuickPath Interconnect (QPI) to replace the Itanium bus-based architecture. It has a peak interprocessor bandwidth of 96 GB/s and a peak memory bandwidth of 34 GB/s. With QuickPath, the processor has integrated memory controllers and interfaces the memory directly, using QPI interfaces to directly connect to other processors and I/O hubs. QuickPath is also used on Intel x86-64 processors using the Nehalem microarchitecture, which possibly enabled Tukwila and Nehalem to use the same chipsets.[108] Tukwila incorporates two memory controllers, each of which has two links to Scalable Memory Buffers, which in turn support multiple DDR3 DIMMs,[109] much like the Nehalem-based Xeon processor code-named Beckton.[110]

HP vs. Oracle

During the 2012 Hewlett-Packard Co. v. Oracle Corp. support lawsuit, court documents unsealed by a Santa Clara County Court judge revealed that in 2008, Hewlett-Packard had paid Intel around $440 million to keep producing and updating Itanium microprocessors from 2009 to 2014. In 2010, the two companies signed another $250 million deal, which obliged Intel to continue making Itanium CPUs for HP's machines until 2017. Under the terms of the agreements, HP had to pay for chips it gets from Intel, while Intel launches Tukwila, Poulson, Kittson, and Kittson+ chips in a bid to gradually boost performance of the platform.[111][112]

Itanium 9500 (Poulson): 2012

Intel first mentioned Poulson on March 1, 2005, at the Spring IDF.[113] In June 2007 Intel said that Poulson will use a 32 nm process technology, skipping the 45 nm process.[114] This was necessary for catching up after Itanium's delays left it at 90 nm competing against 65 nm and 45 nm processors.

At ISSCC 2011, Intel presented a paper called "A 32nm 3.1 Billion Transistor 12-Wide-Issue Itanium Processor for Mission Critical Servers."[115][116] Analyst David Kanter speculated that Poulson would use a new microarchitecture, with a more advanced form of multithreading that uses up to two threads, to improve performance for single threaded and multithreaded workloads.[117] Some information was also released at the Hot Chips conference.[118][119]

Information presented improvements in multithreading, resiliency improvements (Intel Instruction Replay RAS) and few new instructions (thread priority, integer instruction, cache prefetching, and data access hints).

Poulson was released on November 8, 2012, as the Itanium 9500 series processor. It is the follow-on processor to Tukwila. It features eight cores and has a 12-wide issue architecture, multithreading enhancements, and new instructions to take advantage of parallelism, especially in virtualization.[108][120][121] The Poulson L3 cache size is 32 MB and common for all cores, not divided like previously. L2 cache size is 6 MB, 512 I KB, 256 D KB per core.[115] Die size is 544 mm², less than its predecessor Tukwila (698.75 mm²).[122][123]

Intel's Product Change Notification (PCN) 111456-01 lists four models of Itanium 9500 series CPU, which was later removed in a revised document.[124] The parts were later listed in Intel's Material Declaration Data Sheets (MDDS) database.[125] Intel later posted Itanium 9500 reference manual.[126]

The models are the following:[124][127]

Processor number Frequency Cache
9520 1.73 GHz 20MB
9540 2.13 GHz 24MB
9550 2.40 GHz 32MB
9560 2.53 GHz 32MB

Itanium 9700 (Kittson): 2017

Intel had committed to at least one more generation after Poulson, first mentioning Kittson on 14 June 2007.[114] Kittson was supposed to be on a 22 nm process and use the same LGA2011 socket and platform as Xeons.[128][129][130] On 31 January 2013 Intel issued an update to their plans for Kittson: it would have the same LGA1248 socket and 32 nm process as Poulson, thus effectively halting any further development of Itanium processors.[131]

In April 2015, Intel, although it had not yet confirmed formal specifications, did confirm that it continued to work on the project.[132] Meanwhile, the aggressively multicore Xeon E7 platform displaced Itanium-based solutions in the Intel roadmap.[133] Even Hewlett-Packard, the main proponent and customer for Itanium, began selling x86-based Superdome and NonStop servers, and started to treat the Itanium-based versions as legacy products.[134][135]

Intel officially launched the Itanium 9700 series processor family on May 11, 2017.[136][6] Kittson has no microarchitecture improvements over Poulson; despite nominally having a different stepping, it is functionally identical with the 9500 series, even having exactly the same bugs, the only difference being the 133 MHz higher frequency of 9760 and 9750 over 9560 and 9550 respectively.[137][138]

Intel announced that the 9700 series will be the last Itanium chips produced.[139][6]

The models are:[140]

Processor number Cores Threads Frequency Cache
9720 4 08 1.73 GHz 20 MB
9740 8 16 2.13 GHz 24 MB
9750 4 08 2.53 GHz 32 MB
9760 8 16 2.66 GHz 32 MB

Market share

In comparison with its Xeon family of server processors, Itanium was never a high-volume product for Intel. Intel does not release production numbers, but one industry analyst estimated that the production rate was 200,000 processors per year in 2007.[141]

According to Gartner Inc., the total number of Itanium servers (not processors) sold by all vendors in 2007, was about 55,000. (It is unclear whether clustered servers counted as a single server or not.) This compares with 417,000 RISC servers (spread across all RISC vendors) and 8.4 million x86 servers. IDC reports that a total of 184,000 Itanium-based systems were sold from 2001 through 2007. For the combined POWER/SPARC/Itanium systems market, IDC reports that POWER captured 42% of revenue and SPARC captured 32%, while Itanium-based system revenue reached 26% in the second quarter of 2008.[142] According to an IDC analyst, in 2007, HP accounted for perhaps 80% of Itanium systems revenue.[90] According to Gartner, in 2008, HP accounted for 95% of Itanium sales.[143] HP's Itanium system sales were at an annual rate of $4.4Bn at the end of 2008, and declined to $3.5Bn by the end of 2009,[144] compared to a 35% decline in UNIX system revenue for Sun and an 11% drop for IBM, with an x86-64 server revenue increase of 14% during this period.

In December 2012, IDC released a research report stating that Itanium server shipments would remain flat through 2016, with annual shipment of 26,000 systems (a decline of over 50% compared to shipments in 2008).[145]

Hardware support

Systems

Server manufacturers' Itanium products
Company Latest product
name from to name CPUs
HP/HPE 2001 2021 Integrity 1–256
Compaq 2001 2001 ProLiant 590 1–4
IBM 2001 2005 x455 1–16
Dell 2001 2005 PowerEdge 7250 1–4
Hitachi 2001 2008 BladeSymphony
1000
1–8
Unisys 2002 2009 ES7000/one 1–32
SGI 2001 2011 Altix 4000 1–2048
Fujitsu 2005 2011 PRIMEQUEST 1–32
Bull 2002 pre-2015 NovaScale 9410 1–32
NEC 2002 2012 nx7700i 1–256
Inspur 2010 pre-2015 TS10000 2–1024
Huawei 2012 pre-2015 ? ?

By 2006, HP manufactured at least 80% of all Itanium systems, and sold 7,200 in the first quarter of 2006.[146] The bulk of systems sold were enterprise servers and machines for large-scale technical computing, with an average selling price per system in excess of US$200,000. A typical system uses eight or more Itanium processors.

By 2012, only a few manufacturers offered Itanium systems, including HP, Bull, NEC, Inspur and Huawei. In addition, Intel offered a chassis that could be used by system integrators to build Itanium systems.[147]

By 2015, only HP supplied Itanium-based systems.[132] With HP split in late 2015, Itanium systems (branded as Integrity) are handled by Hewlett-Packard Enterprise (HPE), with a major update in 2017 (Integrity i6, and HP-UX 11i v3 Update 16). HPE also supports a few other operating systems, including Windows up to Server 2008 R2, Linux, OpenVMS and NonStop. Itanium is not affected by Spectre and Meltdown.[148]

Chipsets

Prior to the 9300-series (Tukwila), chipsets were needed to connect to the main memory and I/O devices, as the front-side bus to the chipset was the sole connection out of the processor (except for TAP (JTAG) and SMBus for debugging and system configuration). Two generations of buses existed, the original Itanium processor system bus (a.k.a. Merced bus) had a 64 bit data width and 133 MHz clock with DDR (266 MT/s), being soon superseded by the 128-bit 200 MHz DDR (400 MT/s) Itanium 2 processor system bus (a.k.a. McKinley bus), which later reached 533 and 667 MT/s. Up to four CPUs per single bus could be used, but prior to the 9000-series the bus speeds of over 400 MT/s were limited to up to two processors per bus.[149][150] As no Itanium chipset could connect to more than four sockets, high-end servers needed multiple interconnected chipsets.

The "Tukwila" Itanium processor model had been designed to share a common chipset with the Intel Xeon processor EX (Intel's Xeon processor designed for four processor and larger servers). The goal was to streamline system development and reduce costs for server OEMs, many of which develop both Itanium- and Xeon-based servers. However, in 2013, this goal was pushed back to be "evaluated for future implementation opportunities".[151]

In the times before on-chip memory controllers and QPI, enterprise server manufacturers differentiated their systems by designing and developing chipsets that interface the processor to memory, interconnections, and peripheral controllers. "Enterprise server" referred to the then-lucrative market segment of high-end servers with high reliability, availability and serviceability and typically 16+ processor sockets, justifying their pricing by having a custom system-level architecture with their own chipsets at its heart, with capabilities far beyond what two-socket "commodity servers" could offer. Development of a chipset costs tens of millions of dollars and so represented a major commitment to the use of Itanium.

Neither Intel nor IBM would develop Itanium 2 chipsets to support newer technologies such as DDR2 or PCI Express.[152] Before "Tukwila" moved away from the FSB, chipsets supporting such technologies were manufactured by all Itanium server vendors, such as HP, Fujitsu, SGI, NEC, and Hitachi.

Intel

The first generation of Itanium received no vendor-specific chipsets, only Intel's 460GX consisting of ten distinct chips. It supported up to four CPUs and 64 GB of memory at 4.2 GB/s, which is twice the system bus's bandwidth. Addresses and data were handled by two different chips. 460GX had an AGP X4 graphics bus, two 64-bit 66 MHz PCI buses and configurable 33 MHz dual 32-bit or single 64-bit PCI bus(es).[153]

There were many custom chipset designs for Itanium 2, but many smaller vendors chose to use Intel's E8870 chipset. It supports 128 GB of DDR SDRAM at 6.4 GB/s. It was originally designed for Rambus RDRAM serial memory, but when RDRAM failed to succeed Intel added four DDR SDRAM-to-RDRAM converter chips to the chipset.[154] When Intel had previously made such a converter for Pentium III chipsets 820 and 840, it drastically cut performance.[155][156] E8870 provides eight 133 MHz PCI-X buses (4.2 GB/s total because of bottlenecks) and a ICH4 hub with six USB 2.0 ports. Two E8870 can be linked together by two E8870SP Scalability Port Switches, each containing a 1MB (~200,000 cache lines) snoop filter, to create an 8-socket system with double the memory and PCI-X capacity, but still only one ICH4. Further expansion to 16 sockets was planned.[157][158][159] In 2004 Intel revealed plans for its next Itanium chipset, codenamed Bayshore, to support PCI-e and DDR2 memory, but canceled it the same year.[160][152]

Hewlett-Packard

HP has designed four different chipsets for Itanium 2: zx1, sx1000, zx2 and sx2000. All support 4 sockets per chipset, but sx1000 and sx2000 support interconnection of up to 16 chipsets to create up to a 64 socket system. As it was developed in collaboration with Itanium 2's development, booting the first Itanium 2 in February 2001,[161] zx1 became the first Itanium 2 chipset available and later in 2004 also the first to support 533 MT/s FSB. In its basic two-chip version it directly provides four channels of DDR-266 memory, giving 8.5 GB/s of bandwidth and 32 GB of capacity (though 12 DIMM slots).[162] In versions with memory expander boards memory bandwidth reaches 12.8 GB/s, while the maximum capacity for the initial two-board 48 DIMM expanders was 96 GB, and the later single-board 32 DIMM expander up to 128 GB. The memory latency increases by 25 nanoseconds from 80 ns due to the expanders. Eight independent links went to the PCI-X and other peripheral devices (e.g. AGP in workstations), totaling 4 GB/s.[163][164]

HP's first high-end Itanium chipset was sx1000, launched in mid-2003 with the Integrity Superdome flagship server. It has two independent front-side buses, each bus supporting two sockets, giving 12.8 GB/s of combined bandwidth from the processors to the chipset. It has four links to data-only memory buffers and supports 64 GB of HP-designed 125 MHz memory at 16 GB/s. The above components form a system board called a cell. Two cells can be directly connected together to create an 8-socket glueless system. To connect four cells together, a pair of 8-ported crossbar switches is needed (adding 64 ns to inter-cell memory accesses), while four such pairs of crossbar switches are needed for the top-end system of 16 cells (64 sockets), giving 32 GB/s of bisection bandwidth. Cells maintain cache coherence through in-memory directories, which causes the minimum memory latency to be 241 ns. The latency to the most remote (NUMA) memory is 463 ns. The per-cell bandwidth to the I/O subsystems is 2 GB/s, despite the presence of 8 GB/s worth of PCI-X buses in each I/O subsystem.[165][166][167]

HP launched sx2000 in March 2006 to succeed sx1000. Its two FSBs operate at 533 MT/s. It supports up to 128 GB of memory at 17 GB/s. The memory is of HP's custom design, using the DDR2 protocol, but twice as tall as the standard modules and with redundant address and control signal contacts. For the inter-chipset communication, 25.5 GB/s is available on each sx2000 through its three serial links that can connect to a set of three independent crossbars, which connect to other cells or up to 3 other sets of 3 crossbars. The multi-cell configurations are the same as with sx1000, except the parallelism of the sets of crossbars has been increased from 2 to 3. The maximum configuration of 64 sockets has 72 GB/s of sustainable bisection bandwidth. The chipset's connection to its I/O module is now serial with an 8.5 GB/s peak and 5.5 GB/s sustained bandwidth, the I/O module having either 12 PCI-X buses at up to 266 MHz, or 6 PCI-X buses and 6 PCIe 1.1 ×8 slots. It is the last chipset to support HP's PA-RISC processors (PA-8900).[168]

HP launched the first zx2-based servers in September 2006. zx2 can operate the FSB at 667 MT/s with two CPUs or 533 MT/s with four CPUs. It connects to the DDR2 memory either directly, supporting 32 GB at up to 14.2 GB/s, or through expander boards, supporting up to 384 GB at 17 GB/s. The minimum open-page latency is 60 to 78 ns. 9.8 GB/s are available through eight independent links to the I/O adapters, which can include PCIe ×8 or 266 MHz PCI-X.[169][170]

Others

In May 2003 IBM launched the XA-64 chipset for Itanium 2. It used many of the same technologies as the first two generations of XA-32 chipsets for Xeon, but by the time of the third gen XA-32 IBM had decided to discontinue its Itanium products. XA-64 supported 56 GB of DDR SDRAM in 28 slots at 6.4 GB/s, though due to bottlenecks only 3.2 GB/s could go to the CPU and other 2 GB/s to devices for a 5.2 GB/s total. The CPU's memory bottleneck was mitigated by an off-chip 64 MB DRAM L4 cache, which also worked as a snoop filter in multi-chipset systems. The combined bandwidth of the four PCI-X buses and other I/O is bottlenecked to 2 GB/s per chipset. Two or four chipsets can be connected to make an 8 or 16 socket system.[171]

SGI's Altix supercomputers and servers used the SHUB (Super-Hub) chipset, which supports two Itanium 2 sockets. The initial version used DDR memory through four buses for up to 12.8 GB/s bandwidth, and up to 32 GB of capacity across 16 slots. A 2.4 GB/s XIO channel connected to a module with up to six 64-bit 133 MHz PCI-X buses. SHUBs can be interconnected by the dual 6.4 GB/s NUMAlink4 link planes to create a 512-socket cache-coherent single-image system. A cache for the in-memory coherence directory saves memory bandwidth and reduces latency. The latency to the local memory is 132 ns, and each crossing of a NUMAlink4 router adds 50 ns. I/O modules with four 133 MHz PCI-X buses can connect directly to the NUMAlink4 network.[172][173][174][175] SGI's second-generation SHUB 2.0 chipset supported up to 48 GB of DDR2 memory, 667 MT/s FSB, and could connect to I/O modules providing PCI Express.[176][177] It supports only four local threads, so when having two dual-core CPUs per chipset, Hyper-Threading must be disabled.[178]

Software support

Unix

  • HP-UX 11 (supported until 2025)

BSD

  • NetBSD (a tier II port[179] that "is a work-in-progress effort to port NetBSD to the Itanium family of processors. Currently no formal release is available."[180])
  • FreeBSD (unsupported since 31 October 2018)

Linux

The Trillian Project was an effort by an industry consortium to port the Linux kernel to the Itanium processor. The project started in May 1999 with the goal of releasing the distribution in time for the initial release of Itanium, then scheduled for early 2000.[181] By the end of 1999, the project included Caldera Systems, CERN, Cygnus Solutions, Hewlett-Packard, IBM, Intel, Red Hat, SGI, SuSE, TurboLinux and VA Linux Systems.[182] The project released the resulting code in February 2000.[181] The code then became part of the mainline Linux kernel more than a year before the release of the first Itanium processor. The Trillian project was able to do this for two reasons:

  • the free and open source GCC compiler had already been enhanced to support the Itanium architecture.
  • a free and open source simulator had been developed to simulate an Itanium processor on an existing computer.[183]

After the successful completion of Project Trillian, the resulting Linux kernel was used by all of the manufacturers of Itanium systems (HP, IBM, DELL, SGI, Fujitsu, Unisys, Hitachi, and Groupe Bull.) With the notable exception of HP, Linux is either the primary OS or the only OS the manufacturer supports for Itanium. Ongoing free and open source software support for Linux on Itanium subsequently coalesced at Gelato.

Distribution support

In 2005, Fedora Linux started adding support for Itanium[184] and Novell added support for SUSE Linux.[185] In 2007, CentOS added support for Itanium in a new release.[186]

  • Gentoo Linux[187]
  • Debian (unsupported since Debian 8; reportedly Debian 10 was ported to Itanium)
  • Red Hat Enterprise Linux (unsupported since RHEL 6, had support in RHEL 5 until 2017, which supported other platforms up to November 30, 2020)
  • SUSE Linux 11 (supported until 2019, for other platforms SUSE 11 is supported to 2022).

Deprecation

In 2009, Red Hat dropped Itanium support in Enterprise Linux 6.[188] Ubuntu 10.10 dropped support for Itanium.[189] In 2021, Linus Torvalds marked the Itanium code as orphaned. Torvalds said:

"HPE no longer accepts orders for new Itanium hardware, and Intel stopped accepting orders a year ago. While intel is still officially shipping chips until July 29, 2021, it's unlikely that any such orders actually exist. It's dead, Jim."[190][191]

Microsoft Windows

  • Windows XP 64-Bit Edition (unsupported since June 30, 2005)
  • Windows Server 2003 (unsupported since July 14, 2015)
  • Windows Server 2008 (only paid Extended Security Updates support until January 10, 2023, or free for Azure customers until January 9, 2024)
  • Windows Server 2008 R2 (only paid Extended Security Updates support until January 10, 2023; last Windows version to support Itanium processors)

OpenVMS

In 2001, Compaq announced that OpenVMS would be ported to the Itanium architecture.[192] This led to the creation of the V8.x releases of OpenVMS, which support both Itanium-based HPE Integrity Servers and DEC Alpha hardware.[193] Since the Itanium porting effort began, ownership of OpenVMS transferred from Compaq to HP in 2001, and then to VMS Software Inc. (VSI) in 2014.[194] Noteworthy releases include:

  • V8.0 (2003) - First pre-production release of OpenVMS on Itanium available outside HP.[193]
  • V8.2 (2005) - First production-grade release of OpenVMS on Itanium.[193]
  • V8.4 (2010) - Final release of OpenVMS supported by HP. Support ended on December 31, 2020.[195]
  • V8.4-2L3 (2021) - Final release of OpenVMS on Itanium supported by VSI. Support ends on December 31, 2028.[196]

Support for Itanium has been dropped in the V9.x releases of OpenVMS, which run on x86-64 only.[196]

NonStop OS

NonStop OS was ported from MIPS-based hardware to Itanium in 2005.[197] NonStop OS was later ported to x86-64 in 2015. Sales of Itanium-based NonStop hardware ended in 2020, with support ending in 2025.[198][199]

Compiler

In 2005, Itanium support in GCC which is used for compiling Linux was improved.[200]

GNU Compiler Collection deprecated support for IA-64 in GCC 10, after Intel announced the planned phase-out of this ISA.[201] LLVM (Clang) dropped Itanium support in version 2.6.[202]

Virtualization and emulation

HP sells a virtualization technology for Itanium called Integrity Virtual Machines.

Emulation is a technique that allows a computer to execute binary code that was compiled for a different type of computer. Before IBM's acquisition of QuickTransit in 2009, application binary software for IRIX/MIPS and Solaris/SPARC could run via type of emulation called "dynamic binary translation" on Linux/Itanium. Similarly, HP implemented a method to execute PA-RISC/HP-UX on the Itanium/HP-UX via emulation, to simplify migration of its PA-RISC customers to the radically different Itanium instruction set. Itanium processors can also run the mainframe environment GCOS from Groupe Bull and several x86 operating systems via instruction set simulators.

Competition

Area chart showing the representation of different families of micro-
processors in the TOP500 ranking list of supercomputers (1993–2019)

Itanium was aimed at the enterprise server and high-performance computing (HPC) markets. Other enterprise- and HPC-focused processor lines include Oracle's and Fujitsu's SPARC processors and IBM's Power microprocessors. Measured by quantity sold, Itanium's most serious competition came from x86-64 processors including Intel's own Xeon line and AMD's Opteron line. Since 2009, most servers were being shipped with x86-64 processors.[144]

In 2005, Itanium systems accounted for about 14% of HPC systems revenue, but the percentage declined as the industry shifted to x86-64 clusters for this application.[203]

An October 2008 Gartner report on the Tukwila processor, stated that "...the future roadmap for Itanium looks as strong as that of any RISC peer like Power or SPARC."[204]

Supercomputers and high-performance computing

An Itanium-based computer first appeared on the list of the TOP500 supercomputers in November 2001.[71] The best position ever achieved by an Itanium 2 based system in the list was No. 2, achieved in June 2004, when Thunder (Lawrence Livermore National Laboratory) entered the list with an Rmax of 19.94 Teraflops. In November 2004, Columbia entered the list at No. 2 with 51.8 Teraflops, and there was at least one Itanium-based computer in the top 10 from then until June 2007. The peak number of Itanium-based machines on the list occurred in the November 2004 list, at 84 systems (16.8%); by June 2012, this had dropped to one system (0.2%),[205] and no Itanium system remained on the list in November 2012.

Processors

Released processors

Itanium 2 mx2 'Hondo' (Top)
Itanium 2 mx2 'Hondo' (Bottom)

The Itanium processors show a progression in capability. Merced was a proof of concept. McKinley dramatically improved the memory hierarchy and allowed Itanium to become reasonably competitive. Madison, with the shift to a 130 nm process, allowed for enough cache space to overcome the major performance bottlenecks. Montecito, with a 90 nm process, allowed for a dual-core implementation and a major improvement in performance per watt. Montvale added three new features: core-level lockstep, demand-based switching and front-side bus frequency of up to 667 MHz.

Codename process Released Clock L2 Cache/
core
L3 Cache/
processor
Bus dies/
dev.
cores/
die
TDP/
dev.
Comments
Itanium
Merced 180 nm 2001-05-29 733 MHz 096 KB none 266 MHz 01 01 116 2 or 4 MB off-die L3 cache
800 MHz 130 2 or 4 MB off-die L3 cache
Itanium 2
McKinley 180 nm 2002-07-08 900 MHz 256 KB 01.5 MB 400 MHz 01 01 90 HW branchlong
1 GHz 100
03 MB
Madison 130 nm 2003-06-30 1.3 GHz 03 MB 97
1.4 GHz 04 MB 91
1.5 GHz 06 MB 107
2003-09-08 1.4 GHz 01.5 MB 91
2004-04-13 03 MB  
1.6 GHz 99
Deerfield 2003-09-08 1.0 GHz 01.5 MB 55 Low voltage
Hondo[206] 2004-06 1.1 GHz 04 MB 02 01 170 Not a product of Intel, but of HP. 32 MB L4
Fanwood 2004-11-08 1.3 GHz 03 MB 01 01 62 Low voltage
1.6 GHz 99  
533 MHz
Madison 9M 1.5 GHz 04 MB 400 MHz 122
1.6 GHz 06 MB
09 MB
2005-07-05 1.67 GHz 06 MB 667 MHz
09 MB
Itanium 2 9000 series
Montecito 090 nm 2006-07-18 1.4–
1.6 GHz
256 KB (D)+
1 MB (I)
06–24 MB 400–
533 MHz
01 02 075–104 Virtualization, Multithread, no HW IA-32
Itanium 9100 series
Montvale 090 nm 2007-10-31 1.42–
1.66 GHz
256 KB (D)+
1 MB (I)
08–24 MB 400–
667 MHz
01 01–2 075–104 Core-level lockstep, demand-based switching
Itanium 9300 series
Tukwila 065 nm 2010-02-08 1.33–
1.73 GHz
256 KB (D)+
512 KB (I)
10–24 MB QPI with
4.8 GT/s
01 02–4 130–185 A new point-to-point processor interconnect, the QPI,
replacing the FSB. Turbo Boost
Itanium 9500 series
Poulson 032 nm 2012-11-08
[207]
1.73–
2.53 GHz
256 KB (D)+
512 KB (I)
20–32 MB QPI with
6.4 GT/s
01 04–8 130–170 Doubled issue width (from 6 to 12 instructions per cycle),
Instruction Replay technology, Dual-domain hyperthreading[208][209][210]
Itanium 9700 series
Kittson 032 nm 2017-05-11
[6]
1.73–
2.66 GHz
256 KB (D)+
512 KB (I)
20–32 MB QPI with
6.4 GT/s
01 04–8 130–170 No architectural improvements over Poulson,
5 % higher clock for the top model
Codename process Released Clock L2 Cache/
core
L3 Cache/
processor
Bus dies/
dev.
cores/
die
watts/
dev.
Comments

Market reception

High-end server market

HP zx6000 system board with dual Itanium 2 processors
Itanium 2 in 2003

When first released in 2001, Itanium's performance was disappointing compared to better-established RISC and CISC processors.[52][53] Emulation to run existing x86 applications and operating systems was particularly poor, with one benchmark in 2001 reporting that it was equivalent at best to a 100 MHz Pentium in this mode (1.1 GHz Pentiums were on the market at that time).[211] Itanium failed to make significant inroads against IA-32 or RISC, and suffered further following the arrival of x86-64 systems which offered greater compatibility with older x86 applications.

In a 2009 article on the history of the processor — "How the Itanium Killed the Computer Industry" — journalist John C. Dvorak reported "This continues to be one of the great fiascos of the last 50 years".[212] Tech columnist Ashlee Vance commented that the delays and underperformance "turned the product into a joke in the chip industry".[143] In an interview, Donald Knuth said "The Itanium approach...was supposed to be so terrific—until it turned out that the wished-for compilers were basically impossible to write."[213]

Both Red Hat and Microsoft announced plans to drop Itanium support in their operating systems due to lack of market interest;[214][215] however, other Linux distributions such as Gentoo and Debian remain available for Itanium. On March 22, 2011, Oracle Corporation announced that it would no longer develop new products for HP-UX on Itanium, although it would continue to provide support for existing products.[216] Following this announcement, HP sued Oracle for breach of contract, arguing that Oracle had violated conditions imposed during settlement over Oracle's hiring of former HP CEO Mark Hurd as its co-CEO, requiring the vendor to support Itanium on its software "until such time as HP discontinues the sales of its Itanium-based servers",[217] and that the breach had harmed its business. In 2012, a court ruled in favor of HP, and ordered Oracle to resume its support for Itanium. In June 2016, Hewlett-Packard Enterprise (the corporate successor to HP's server business) was awarded $3 billion in damages from the lawsuit.[218][219] Oracle unsuccessfully appealed the decision to the California Court of Appeal in 2021.[220]

A former Intel official reported that the Itanium business had become profitable for Intel in late 2009.[221] By 2009, the chip was almost entirely deployed on servers made by HP, which had over 95% of the Itanium server market share,[143] making the main operating system for Itanium HP-UX. On March 22, 2011, Intel reaffirmed its commitment to Itanium with multiple generations of chips in development and on schedule.[222]

Other markets

HP zx6000, an Itanium 2-based Unix workstation

Although Itanium did attain limited success in the niche market of high-end computing, Intel had originally hoped it would find broader acceptance as a replacement for the original x86 architecture.[223]

AMD chose a different direction, designing the less radical x86-64, a 64-bit extension to the existing x86 architecture, which Microsoft then supported, forcing Intel to introduce the same extensions in its own x86-based processors.[224] These designs can run existing 32-bit applications at native hardware speed, while offering support for 64-bit memory addressing and other enhancements to new applications.[143] This architecture has now become the predominant 64-bit architecture in the desktop and portable market. Although some Itanium-based workstations were initially introduced by companies such as SGI, they are no longer available.

Timeline

1989

  • HP begins investigating EPIC.[10]

1994

  • June: HP and Intel announce partnership.[225]

1995

  • September: HP, Novell, and SCO announce plans for a "high volume UNIX operating system" to deliver "64-bit networked computing on the HP/Intel architecture".[226]

1996

1997

  • June: IDC predicts IA-64 systems sales will reach $38bn/yr by 2001.[47]
  • October: Dell announces it will use IA-64.[228]
  • December: Intel and Sun announce joint effort to port Solaris to IA-64.[57][58][59]

1998

  • March: SCO admits HP/SCO Unix alliance is now dead.
  • June: IDC predicts IA-64 systems sales will reach $30bn/yr by 2001.[47]
  • June: Intel announces Merced will be delayed, from second half of 1999 to first half of 2000.[229]
  • September: IBM announces it will build Merced-based machines.[230]
  • October: Project Monterey is formed to create a common UNIX for IA-64.

1999

  • February: Project Trillian is formed to port Linux to IA-64.
  • August: IDC predicts IA-64 systems sales will reach $25bn/yr by 2002.[47]
  • October: Intel announces the Itanium name.
  • October: the term Itanic is first used in The Register.[63]

2000

  • February: Project Trillian delivers source code.
  • June: IDC predicts Itanium systems sales will reach $25bn/yr by 2003.[47]
  • July: Sun and Intel drop Solaris-on-Itanium plans.[231]
  • August: AMD releases specification for x86-64, a set of 64-bit extensions to Intel's own x86 architecture intended to compete with IA-64. It will eventually market this under the name "AMD64".

2001

  • June: IDC predicts Itanium systems sales will reach $15bn/yr by 2004.[47]
  • June: Project Monterey dies.
  • July: Itanium is released.
  • October: IDC predicts Itanium systems sales will reach $12bn/yr by the end of 2004.[47]
  • November: IBM's 320-processor Titan NOW Cluster at National Center for Supercomputing Applications is listed on the TOP500 list at position #34.[71]
  • November: Compaq delays Itanium Product release due to problems with processor.[232]
  • December: Gelato is formed.

2002

  • March: IDC predicts Itanium systems sales will reach $5bn/yr by end 2004.[47]
  • June: Itanium 2 is released.

2003

  • April: IDC predicts Itanium systems sales will reach $9bn/yr by end 2007.[47]
  • April: AMD releases Opteron, the first processor with x86-64 extensions.
  • June: Intel releases the "Madison" Itanium 2.

2004

  • February: Intel announces it has been working on its own x86-64 implementation (which it will eventually market under the name "Intel 64").
  • June: Intel releases its first processor with x86-64 extensions, a Xeon processor codenamed "Nocona".
  • June: Thunder, a system at LLNL with 4096 Itanium 2 processors, is listed on the TOP500 list at position #2.[233]
  • November: Columbia, an SGI Altix 3700 with 10160 Itanium 2 processors at NASA Ames Research Center, is listed on the TOP500 list at position #2.[234]
  • December: Itanium system sales for 2004 reach $1.4bn.

2005

  • January: HP ports OpenVMS to Itanium[235]
  • February: IBM server design drops Itanium support.[152][236]
  • June: An Itanium 2 sets a record SPECfp2000 result of 2,801 in a Hitachi, Ltd. Computing blade.[237]
  • September: Itanium Solutions Alliance is formed.[238]
  • September: Dell exits the Itanium business.[239]
  • October: Itanium server sales reach $619M/quarter in the third quarter.
  • October: Intel announces one-year delays for Montecito, Montvale, and Tukwila.[105]

2006

  • January: Itanium Solutions Alliance announces a $10bn collective investment in Itanium by 2010.
  • February: IDC predicts Itanium systems sales will reach $6.6bn/yr by 2009.[48]
  • July: Intel releases the dual-core "Montecito" Itanium 2 9000 series.[240]

2007

  • April: CentOS (RHEL-clone) places Itanium support on hold for the 5.0 release.[241]
  • October: Intel releases the "Montvale" Itanium 2 9100 series.
  • November: Intel renames the family from Itanium 2 back to Itanium.

2009

  • December: Red Hat announces that it is dropping support for Itanium in the next release of its enterprise OS, Red Hat Enterprise Linux 6.[188]

2010

  • February: Intel announces the "Tukwila" Itanium 9300 series.[107]
  • April: Microsoft announces phase-out of support for Itanium.[242]
  • October: Intel announces new releases of Intel C++ Compiler and Intel Fortran Compiler for x86/x64, while Itanium support is only available in older versions.[243]

2011

2012

  • February: Court papers were released from a case between HP and Oracle Corporation that gave insight to the fact that HP was paying Intel $690 million to keep Itanium on life support.[247]
  • SAP discontinues support for Business Objects on Itanium.[248]
  • September: In response to a court ruling, Oracle reinstitutes support for Oracle software on Itanium hardware.[249]

2013

  • January: Intel cancels Kittson as a 22 nm shrink of Poulson, moving it instead to its 32 nm process.[250]
  • November: HP announces that its NonStop servers will start using Intel 64 (x86-64) chips.[251]

2014

  • July: VMS Software Inc (VSI) announces that OpenVMS will be ported to x86-64.[252]
  • December: HP announces that their next generation of Superdome X and Nonstop X servers would be equipped with Intel Xeon processors, and not Itanium. While HP continues to sell and offer support for the Itanium-based Integrity portfolio, the introduction of a model based entirely on Xeon chips marks the end of an era.[253]

2017

  • February: Intel ships test versions of Kittson, the first new Itanium chip since 2012.[254]
  • May: Kittson formally ships in volume as the Itanium 9700 series. Intel states that Kittson is the final Itanium generation.[139]

2019

  • January: Intel announces Itanium's end of life with additional orders accepted until January 2020 and last shipments no later than July 2021.[1]

2020

  • Hewlett Packard Enterprise (HPE) is accepting the last orders for the latest Itanium i6 servers on December 31, 2020.[255]

2021

  • February: Linus Torvalds marks the Itanium port of Linux as orphaned. "HPE no longer accepts orders for new Itanium hardware, and Intel stopped accepting orders a year ago. While Intel is still officially shipping chips until July 29, 2021, it's unlikely that any such orders actually exist. It's dead, Jim."[256]
  • July 29: official end of life.

See also

References

  1. Itanium was launched on 29 May,[2][3][4][5] but the computers containing it shipped to customers in June.
  2. The size of the needed dependency-checking circuitry increases quadratically with the issue width.[8][9]
  3. For comparison the 180nm Pentium III Xeon MP had a 2 MB on-die L2 cache.
  1. 1.0 1.1 1.2 "Select Intel Itanium Processors and Intel Scalable Memory Buffer, PCN 116733-00, Product Discontinuance, End of Life". Intel. January 30, 2019. https://qdms.intel.com/dm/i.aspx/F65EEA26-13FB-4580-972B-46B75E0AB322/PCN116733-00.pdf. 
    (January 30, 2020 was the last date for placing an order, all shipped no later than July 29, 2021).
  2. "Intel officially launches 64-bit Itanium chip". 29 May 2001. https://www.computerworld.com/article/2582076/intel-officially-launches-64-bit-itanium-chip.html. 
  3. Fordahl, Matthew (30 May 2001). "Intel, HP Launch New Processor". https://abcnews.go.com/Technology/story?id=98536&page=1. 
  4. Bekker, Scott (29 May 2001). "Intel Launches Itanium: OEMs Unveil Systems". https://rcpmag.com/articles/2001/05/29/intel-launches-itanium-oems-unveil-systems.aspx. 
  5. Kerridge, Suzanna (18 May 2001). "Intel opens up about forthcoming Itanium family". https://www.zdnet.com/article/intel-opens-up-about-forthcoming-itanium-family/. 
  6. 6.0 6.1 6.2 6.3 Shah, Agam (May 11, 2017). "Intel's Itanium, once destined to replace x86 processors in PCs, hits end of line". https://www.pcworld.com/article/3196080/intels-itanium-once-destined-to-replace-x86-in-pcs-hits-end-of-line.html. 
  7. "The Register just found 300-odd Itanium CPUs on eBay". July 30, 2021. https://www.theregister.com/2021/07/30/end_of_itanium_shipments/. 
  8. 8.0 8.1 DeMone, Paul (27 October 1999). "HP's Struggle For Simplicity Ends at Intel". https://www.realworldtech.com/hp-intel-itanium/. 
  9. 9.0 9.1 Smotherman, Mark. "Understanding EPIC Architectures and Implementations". Clemson University. https://people.cs.clemson.edu/~mark/464/acmse_epic.pdf. 
  10. 10.0 10.1 10.2 "Inventing Itanium: How HP Labs Helped Create the Next-Generation Chip Architecture". HP Labs. June 2001. http://www.hpl.hp.com/news/2001/apr-jun/itanium.html. 
  11. 11.0 11.1 11.2 11.3 Markoff, John (5 April 1998). "Inside Intel, The Future is Riding on the Merced Chip". The New York Times , republised by The Jerusalem Post. https://archive.org/details/TheJerusalemPost1998IsraelEnglish/Apr%2006%201998%2C%20The%20Jerusalem%20Post%2C%20%2319898%2C%20Israel%20%28en%29/page/n12/mode/1up. 
  12. DeMone, Paul (25 January 2000). "Intel's History Lesson". https://www.realworldtech.com/intel-history-lesson/. 
  13. 13.0 13.1 DeMone, Paul (14 March 2001). "Countdown to IA-64". https://www.realworldtech.com/countdown-to-ia64/. 
  14. Smotherman, Mark. "Who are the Computer Architects?". Clemson University. https://people.cs.clemson.edu/~mark/architects.html.  See the sections "Independence architecture" and "Wintel".
  15. Alpert, Donald (July 2003). "Intel Itanium Processor (Merced)". https://camelback-comparch.com/about/technical-highlights/#merced.  Alpert was the chief architect of the original P7 and the top engineering manager of Merced[14]
  16. DeMone, Paul (3 March 2000). "What's Up With Willamette? (Part 1)". https://www.realworldtech.com/willamette-basics/. 
  17. Kanellos, Michael (21 February 2003). "Intel takes slow road to 64-bit PC chips". https://www.cnet.com/tech/tech-industry/intel-takes-slow-road-to-64-bit-pc-chips/. 
  18. 18.0 18.1 18.2 Hamilton, David (28 May 2001). "Intel gambles with Itanium". https://www.zdnet.com/article/intel-gambles-with-itanium/. 
  19. Britt, Russ (1 January 2000). "The birth of a new processor". https://www.edn.com/the-birth-of-a-new-processor/. 
  20. Smotherman, Mark. "Historical background for EPIC instruction set architectures". Clemson University. https://people.cs.clemson.edu/~mark/epic.html. 
  21. [13][19] (The ACM committee with 5 people from each side[20] was probably a different entity.)
  22. Kathail, Vinod; Schlansker, Michael S.; Rau, B. Ramakrishna. "HPL-PD Architecture Specification: Version 1.1". HP Laboratories. http://www.hpl.hp.com/techreports/93/HPL-93-80R1.pdf. 
  23. Hecht, Jeff (18 June 1994). "Technology: Intel opts for simpler, speedier chips". https://www.newscientist.com/article/mg14219303-300-technology-intel-opts-for-simpler-speedier-chips/. 
  24. Bozman, Jean S. (13 June 1994). "Chip alliance shakes ground". https://books.google.com/books?id=QZtKFFB8weQC&pg=PA12.  David House had approved the project, but later severely criticized it.
  25. Babcock, Charles (25 July 1994). "Silicon marriage: HP/Intel venture". https://books.google.com/books?id=QtpyKsPTNwkC&pg=PA6. 
  26. DeMone, Paul (14 March 2001). "Countdown to IA-64". https://www.realworldtech.com/countdown-to-ia64/.  Has a typo (P5) in the graphic.
  27. Crothers, Brooke (29 January 1996). "Intel aims to bring multimedia to the masses". https://books.google.com/books?id=zD4EAAAAMBAJ&pg=PA8. 
  28. "MERCED "WILL BE OUT LATE 1999," SAYS HEWLETT-PACKARD". 18 July 1997. https://techmonitor.ai/technology/merced_will_be_out_late_1999_says_hewlett_packard_1. 
  29. Kanellos, Michael (6 October 1997). "Intel late to 64-bit computing". https://www.cnet.com/news/intel-late-to-64-bit-computing/. 
  30. 30.0 30.1 Kanellos, Michael (14 October 1997). "Intel, HP unveil EPIC technology". https://www.cnet.com/news/intel-hp-unveil-epic-technology/. 
  31. DeMone, Paul (27 October 1999). "HP's Struggle For Simplicity Ends at Intel". p. 3. https://www.realworldtech.com/hp-intel-itanium/3/. 
  32. Gwennap, Linley (27 October 1997). "Intel, HP Make EPIC Disclosure". Microprocessor Report 11 (14). https://www.cs.virginia.edu/~skadron/cs854_uproc_survey/spring_2001/cs854/111401.pdf. 
  33. Corcoran, Elizabeth (15 October 1997). "CHIPMAKERS UNVEIL WORKS IN PROGRESS". The Washington Post. https://www.washingtonpost.com/archive/business/1997/10/15/chipmakers-unveil-works-in-progress/b4ecf2c5-7c6b-419e-a0d1-9c35d515b5e0/. 
  34. 34.0 34.1 Robertson, Chiyo (17 March 1999). "Merced: Worth the wait? What of McKinley?". https://www.zdnet.com/article/merced-worth-the-wait-what-of-mckinley/. 
  35. Matsumoto, Craig (8 October 1998). "Intel outlines road to McKinley processor". https://www.eetimes.com/intel-outlines-road-to-mckinley-processor/. 
  36. 36.0 36.1 "Inside the Intel Itanium 2 Processor: a Hewlett Packard Technical White Paper" (PDF). 17 July 2002. http://citeseerx.ist.psu.edu/viewdoc/download?doi=10.1.1.96.8209&rep=rep1&type=pdf. 
  37. Kanellos, Michael (6 August 1998). "Is Merced doomed?". https://www.cnet.com/tech/tech-industry/is-merced-doomed/. 
  38. "INTEL'S MERCED COULD BE ECLIPSED BY MCKINLEY FOLLOW-ON". 6 August 1998. https://techmonitor.ai/technology/intels_merced_could_be_eclipsed_by_mckinley_follow_on. 
  39. Shankland, Stephen; Kanellos, Michael (13 October 1998). "HP has two-pronged chip plan". http://cnet.com/news/0-1004-200-334214.html. 
  40. Gary, Gregory (3 May 1999). "IA 64 Update: Part 1 of 2". https://www.edn.com/ia-64-update-part-1-of-2/. 
  41. 41.0 41.1 Shankland, Stephen (8 July 1999). "Intel's Merced chip may slip further". http://news.cnet.com/news/0-1003-200-344601.html. 
  42. Hamblen, Matt (12 July 1999). "Intel: No Forced March to Merced". https://books.google.com/books?id=51iIcvzoX-AC&pg=PA61. 
  43. Shankland, Stephen (19 August 1999). "HP upgrade path bypasses Merced chip". http://news.cnet.com/news/0-1003-200-346220.html. 
  44. Shankland, Stephen (11 July 2000). "HP moves slowly into world of Intel 64-bit processors". http://www.news.cnet.com/news/0-1003-200-2241414.html. 
  45. Shankland, Stephen; Kanellos, Michael (July 18, 2000). "Intel pushes back schedule for Itanium chip". http://news.cnet.com/news/0-1003-200-2284759.html. 
  46. Shankland, Stephen (1 March 2001). "Intel draws out Itanium arrival". http://news.cnet.com/news/0-1003-200-4996738.html. 
  47. 47.0 47.1 47.2 47.3 47.4 47.5 47.6 47.7 47.8 "Mining Itanium". CNet News. December 7, 2005. http://www.zdnet.com/pictures/charts-mining-itanium/. 
  48. 48.0 48.1 Shankland, Stephen (February 14, 2006). "Analyst firm offers rosy view of Itanium". CNET News. https://www.cnet.com/news/analyst-firm-offers-rosy-view-of-itanium/. 
  49. Halfhill, Tom R. (December 1997). "Beyond Pentium II". http://www.byte.com/art/9712/sec5/art1.htm. 
  50. Connor, Deni (1 March 1999). "Intel's Merced will coexist with 32-bit chips". https://books.google.com/books?id=AxwEAAAAMBAJ&pg=PA61. 
  51. Knorr, Eric (10 September 2001). "Upgrading your server: A look at the Itanium". https://www.zdnet.com/article/upgrading-your-server-a-look-at-the-itanium/. 
  52. 52.0 52.1 De Gelas, Johan (November 9, 2005). "Itanium–Is there light at the end of the tunnel?". AnandTech. http://www.anandtech.com/cpuchipsets/showdoc.aspx?i=2598. 
  53. 53.0 53.1 Takahashi, Dean (May 8, 2009). "Exit interview: Retiring Intel chairman Craig Barrett on the industry's unfinished business". VentureBeat. https://venturebeat.com/2009/05/08/exit-interview-retiring-intel-chairman-craig-barrett-on-the-industrys-unfinished-business/. 
  54. Nash, Kim S. (6 July 1998). "Behind the Merced Mystique". https://books.google.com/books?id=03nTlQZ61IgC&pg=PT14. 
  55. Yu, Elleen (25 November 1998). "IA-64 to overtake RISC". https://www.arnnet.com.au/article/110877/ia-64_overtake_risc/. 
  56. 56.0 56.1 56.2 "Itanium: A cautionary tale". Tech News on ZDNet. December 7, 2005. https://www.zdnet.com/article/itanium-a-cautionary-tale/. 
  57. 57.0 57.1 Vijayan, Jaikumar (September 1, 1999). "Solaris for IA-64 coming this fall". http://www.computerworld.com/home/news.nsf/all/9909013sunsol. 
  58. 58.0 58.1 Wolfe, Alexander (September 2, 1999). "Core-logic efforts under way for Merced". EE Times. https://www.eetimes.com/core-logic-efforts-under-way-for-merced/. 
  59. 59.0 59.1 "Sun Introduces Solaris Developer Kit for Intel to Speed Development of Applications On Solaris; Award-winning Sun Tools Help ISVs Easily Develop for Solaris on Intel Today". Business Wire. March 10, 1998. http://www.thefreelibrary.com/Sun+Introduces+Solaris+Developer+Kit+for+Intel+to+Speed+Development...-a020369933. "...developers can quickly develop applications today that will be compatible with and can easily be tuned for Solaris on Merced." 
  60. Shankland, Stephen (September 17, 1999). "Next-generation chip passes key milestone". CNET News. https://www.cnet.com/news/next-generation-chip-passes-key-milestone/. 
  61. Kanellos, Michael (October 4, 1999). "Intel names Merced chip Itanium". https://www.cnet.com/news/intel-names-merced-chip-itanium/. 
  62. Finstad, Kraig (October 4, 1999). "Re:Itanium". Newsgroupcomp.sys.mac.advocacy. Retrieved May 20, 2020.
  63. 63.0 63.1 Pete Sherriff (October 28, 1999). "AMD vs Intel – our readers write". The Register. https://www.theregister.co.uk/1999/10/28/amd_vs_intel_our_readers/. 
  64. Berlind, David (November 30, 2001). "Interpreting McNealy's lexicon". ZDNet Tech Update. https://www.zdnet.com/article/interpreting-mcnealys-lexicon/. 
  65. Demerjian, Charlie (July 18, 2006). "Itanic shell game continues". http://www.theinquirer.net/inquirer/news/1004260/itanic-shell-game-continues. 
  66. Morgenson, Gretchen (October 19, 2003). "Fawning Analysts Betray Investors". The New York Times. https://www.nytimes.com/2003/10/19/business/market-watch-fawning-analysts-betray-investors.html. 
  67. "Product Change Notification". Intel. http://developer.intel.com/design/pcn/Processors/D0102840.pdf. 
  68. Niccolai, James (IDG News Service) (29 May 2001). "Intel officially launches 64-bit Itanium chip". https://www.computerworld.com/article/2582076/intel-officially-launches-64-bit-itanium-chip.html. 
  69. "Server makers tout Itanium models". https://www.zdnet.com/article/server-makers-tout-itanium-models-5000117490/. 
  70. Linley Gwennap (June 4, 2001). "Itanium era dawns". EE Times. https://www.eetimes.com/itanium-era-dawns/. Retrieved December 17, 2019. 
  71. 71.0 71.1 71.2 "Titan Cluster Itanium 800 MHz". TOP500 web site. http://www.top500.org/system/ranking/5597. 
  72. Michael Kanellos (December 11, 2001). "Itanium sales off to a slow start". CNET News. http://news.cnet.com/2100-1001-276880.html. 
  73. "Product Change Notification". Intel. http://developer.intel.com/design/pcn/Processors/D0103649.pdf. 
  74. "Product Change Notification". Intel. http://developer.intel.com/design/pcn/Processors/D0105835.pdf. 
  75. "Product Change Notification". Intel.
    Warning: forced download. https://qdms.intel.com/dm/i.aspx/53B15559-69D6-4DD5-8379-0ABE33DCE8D4/PCN107564-00.pdf.
     
  76. McKinley: 16 April 2004[73]
    Madison 6M: 28 July 2006[74]
    Madison 9M: 16 November 2007[75]
  77. Hammond, Gary; Naffziger, Sam. "Next Generation Itanium™ Processor Overview". http://intel.com/design/itanium2/download/McK-IDF-2001.pdf. 
  78. Naffzinger, Samuel D. et al. (2002). "The implementation of the Itanium 2 microprocessor". IEEE Journal of Solid-State Circuits, vol. 37, no. 11, pp. 1448–1460. (archived)
  79. Soltis, Don; Gibson, Mark. "Itanium® 2 Processor Microarchitecture Overview". http://www.hotchips.org/archives/hc14/2_Mon/03_soltis.pdf. 
  80. Naffziger, Samuel; Hammond, Gary. "The Implementation of the Next-Generation 64b Itanium Microprocessor". http://www.imec.be/elela/HD03/examens/2003/D20_6.pdf. 
  81. Krazit, Tom (12 May 2003). "Intel details Itanium 2 bug". https://www.computerworld.com/article/2570015/intel-details-itanium-2-bug.html. 
  82. "Itanium Solutions Alliance". ISA web site. http://www.itaniumsolutionsalliance.org. 
  83. Scott, Bilepo (January 26, 2006). "Computing Leaders Announce Strategy for New Era of Mission Critical Computing". Itanium Solutions Alliance Press Release. http://www.ednasia.com/article-12139-computingleadersannouncestrategyforneweraofmissioncriticalcomputing-Asia.html. 
  84. "Intel server processors to be discontinued in 2012". https://www.cpu-world.com/news_2011/2011021601_Intel_server_processors_to_be_discontinued_in_2012.html. 
  85. Kanellos, Michael. "Intel accelerates Itanium schedule". https://www.cnet.com/tech/tech-industry/intel-accelerates-itanium-schedule/. 
  86. 86.0 86.1 Shankland, Stephen; Kanellos, Michael (9 July 2003). "Intel's summer of servers". https://www.theglobeandmail.com/technology/intels-summer-of-servers/article1163609/. 
  87. 87.0 87.1 Kanellos, Michael. "Intel fills in more details on Itanium family". https://www.cnet.com/tech/tech-industry/intel-fills-in-more-details-on-itanium-family/. 
  88. Wilson, Derek. "Intel Developer Forum Fall 2004: Day 1 Keynote". https://www.anandtech.com/show/1465/3. 
  89. Shankland, Stephen. "Intel pushes back Itanium chips, revamps Xeon". https://www.cnet.com/tech/tech-industry/intel-pushes-back-itanium-chips-revamps-xeon/. 
  90. 90.0 90.1 Niccolai, James (May 20, 2008). "'Tukwila' Itanium servers due early next year, Intel says". Computerworld. https://www.computerworld.com/article/2536018/-tukwila--itanium-servers-due-early-next-year--intel-says.html. 
  91. Gonsalves, Antone (November 1, 2007). "Intel Unveils Seven Itanium Processors". InformationWeek. http://www.informationweek.com/story/showArticle.jhtml?articleID=202800983. 
  92. 92.0 92.1 "Intel Shares Findings, Platform Plans To Better Guide Businesses Through 'Transformation'". Intel. https://www.intel.com/pressroom/archive/releases/2004/20040907corp_a.htm. 
  93. "Intel Strengthens Investment In Intel® Itanium® Architecture With Hiring Of HP Design Team". https://www.intel.com/pressroom/archive/releases/2004/20041216comp.htm. 
  94. Shilov, Anton. "Intel to Discontinue Itanium 9700 'Kittson' Processor, the Last of the Itaniums". https://www.anandtech.com/show/13924/intel-to-discontinue-itanium-9700-kittson-processor-the-last-itaniums. 
  95. Kanellos, Michael. "Intel changes code name of future Itanium". https://www.cnet.com/news/intel-changes-code-name-of-future-itanium/. 
  96. McMillan, Robert (18 December 2003). "Trademark flap prompts Intel to rename Tanglewood". https://www.infoworld.com/article/2678103/trademark-flap-prompts-intel-to-rename-tanglewood.html. 
  97. Vance, Ashlee. "Tanglewood to run 10x faster than Madison". https://www.theregister.com/2003/05/01/tanglewood_to_run_10x_faster1/. 
  98. McMillan, Robert (17 September 2003). "FALL IDF: Intel readies 8-core, 16-core Itanium 2". https://www.infoworld.com/article/2676169/fall-idf--intel-readies-8-core--16-core-itanium-2.html. 
  99. Shankland, Stephen. "'Tanglewood' to top Intel chip show". https://www.cnet.com/tech/tech-industry/tanglewood-to-top-intel-chip-show/. 
  100. McMillan, Robert. "Itanium 2 Montecito to be multithreaded". https://www.computerweekly.com/news/2240053525/Itanium-2-Montecito-to-be-multithreaded. 
  101. "Intel Outlines Platform Innovations For More Manageable, Balanced And Secure Enterprise Computing". Intel. https://www.intel.com/pressroom/archive/releases/2004/20040218corp.htm. 
  102. Shankland, Stephen. "Intel to spotlight new Itanium: 'Poulson'". https://www.cnet.com/tech/tech-industry/intel-to-spotlight-new-itanium-poulson/. 
  103. Merritt, Rick (March 2, 2005). "Intel preps HyperTransport competitor for Xeon, Itanium CPUs". EE Times. https://www.eetimes.com/intel-preps-hypertransport-competitor-for-xeon-itanium-cpus/. Retrieved December 17, 2019. 
  104. Vance, Ashlee. "Intel's Xeon chip kill is result of chaos in India". https://www.theregister.com/2005/10/28/intel_whitefield_india/. 
  105. 105.0 105.1 Shankland, Stephen (October 24, 2005). "Intel pushes back Itanium chips, revamps Xeon". ZDNet News. https://www.zdnet.com/article/intel-pushes-back-itanium-chips-revamps-xeon/. 
  106. Demerjian, Charlie (May 21, 2009). "Tukwila delayed until 2010". http://www.theinquirer.net/inquirer/news/1137434/tukwila-delayed-2010. 
  107. 107.0 107.1 Burt, Jeff (February 8, 2010). "New Intel Itanium Offers Greater Performance, Memory Capacity". https://www.eweek.com/networking/new-intel-itanium-offers-greater-performance-memory-capacity/. 
  108. 108.0 108.1 Tan, Aaron (June 15, 2007). "Intel updates Itanium line with 'Kittson'". ZDNet Asia. http://www.zdnetasia.com/news/hardware/0,39042972,62021436,00.htm. 
  109. Stokes, Jon (February 5, 2009). "Intel delays quad Itanium to boost platform memory capacity". ars technica. https://arstechnica.com/business/news/2009/02/intel-delays-quad-itanium-to-boost-platform-memory-capacity.ars. 
  110. Ng, Jansen (February 10, 2009). "Intel Aims for Efficiency With New Server Roadmap". DailyTech. http://www.dailytech.com/Intel+Aims+for+Efficiency+With+New+Server+Roadmap/article14224.htm. 
  111. "HP Paid Intel $690 Million to Keep Itanium Alive - Court Findings.". http://www.xbitlabs.com/news/cpu/display/20120201201109_HP_Paid_Intel_690_Million_to_Keep_Itanium_Alive_Court_Findings.html. 
  112. Robert McMillan (February 1, 2012). "HP Paid Intel $690 Million To Keep Itanium On Life Support". Wired. https://www.wired.com/wiredenterprise/2012/02/hp-itanium/. Retrieved March 7, 2017. 
  113. "Intel Platforms, Technologies To Drive Enterprise Advances". Intel. https://www.intel.com/pressroom/archive/releases/2005/20050301corp_a.htm. 
  114. 114.0 114.1 Boslet, Mark (15 June 2007). "Intel to employ advanced technology on server chips". https://www.mercurynews.com/2007/06/14/intel-to-employ-advanced-technology-on-server-chips/. 
  115. 115.0 115.1 Riedlinger, Reid J.; Bhatia, Rohit; Biro, Larry; Bowhill, Bill; Fetzer, Eric; Gronowski, Paul; Grutkowski, Tom (February 24, 2011). "2011 IEEE International Solid-State Circuits Conference". 2011 IEEE International Solid-State Circuits Conference. pp. 84–86. doi:10.1109/ISSCC.2011.5746230. ISBN 978-1-61284-303-2. 
  116. "ISSCC 2011". http://isscc.org/wp-content/uploads/sites/10/2017/05/ISSCC2011_AdvanceProgram.pdf. 
  117. Kanter, David (November 17, 2010). "Preparing for Tukwila: The Next Generation of Intel's Itanium Processor Family". Real World Tech. http://www.realworldtech.com/page.cfm?ArticleID=RWT111710021604. 
  118. "Itanium Poulson Update — Greater Parallelism, New Instruction Replay & More: Catch the details from Hotchips!". August 19, 2011. https://itpeernetwork.intel.com/itanium-poulson-update-greater-parallelism-new-instruction-replay-more-catch-the-details-from-hotchips/. 
  119. "Intel Itanium Hotchips 2011 Overview". 18 August 2011. http://www.slideshare.net/PaulineNist/intel-itanium-poulson-update-at-hotchips. 
  120. "Poulson: The Future of Itanium Servers". realworldtech.com. May 18, 2011. http://www.realworldtech.com/page.cfm?ArticleID=RWT051811113343. 
  121. "Hot Chips Poulson Disclosure Factsheet". Intel press release. August 19, 2011. http://newsroom.intel.com/servlet/JiveServlet/download/38-5835/Hot%20Chips%20%20Poulson%20disclosure%20Factsheet.pdf. 
  122. Merrit, Rick (November 23, 2010). "Researchers carve CPU into plastic foil". EE Times. https://www.eetimes.com/researchers-carve-cpu-into-plastic-foil/. Retrieved December 17, 2019. 
  123. O'Brien, Terrence (August 22, 2011). "Intel talks up next-gen Itanium: 32nm, 8-core Poulson". Engadget. https://www.engadget.com/2011/08/22/intel-talks-up-next-gen-itanium-32nm-8-core-poulson/. 
  124. 124.0 124.1 "Unreleased Intel Itanium 9500-series CPUs spotted". http://www.cpu-world.com/news_2012/2012061301_Unreleased_Intel_Itanium_9500-series_CPUs_spotted.html. 
  125. "Spotted 9500-series CPUs confirmed to be "Poulson" Itaniums". http://www.cpu-world.com/news_2012/2012062601_Spotted_9500-series_CPUs_confirmed_to_be_Poulson_Itaniums.html. 
  126. "Intel publishes Itanium 9500 reference manual". http://www.cpu-world.com/news_2012/2012071101_Intel_publishes_Itanium_9500_reference_manual.html. 
  127. "Products formerly Poulson". http://ark.intel.com/products/codename/26643/Poulson. 
  128. Wheeler, Bob. "Tocking Itanium". The Linley Group. https://www.linleygroup.com/newsletters/newsletter_detail.php?num=4912. 
  129. Skaugen, Kirk. "IDF2011 Intel Developer Forum". slide 21. Intel. https://download.intel.com/newsroom/kits/idf/2011_fall/pdfs/Kirk_Skaugen_DCSG_MegaBriefing.pdf. 
  130. Nist, Pauline. "More than just another Itanium chip". Intel. https://itpeernetwork.intel.com/more-than-just-another-itanium-chip/#gs.r3sxvd. 
  131. "Intel® Itanium® Processors Update". http://www.intel.com/content/www/us/en/processors/itanium/itanium-kittson-update.html. 
  132. 132.0 132.1 Shilov, Anton (April 17, 2015). "Intel still committed to make new Itanium processors". http://www.kitguru.net/components/cpu/anton-shilov/intel-still-committed-to-make-new-itanium-processors/. "KitGuru Says: Even though it is highly likely that “Kittson” chips will be released, it does not seem that Intel and HP actually want to invest R&D money in boosting performance of IA-64 chips. As a result, it looks like the best thing "Kittson" will offer will be a 20 per cent performance improvement over current gen offerings." 
  133. Shah, Agam (February 19, 2014). "Intel's new Xeon server chip pushes Itanium closer to death's door". PC World. http://www.pcworld.com/article/2099260/intels-new-xeon-server-chip-pushes-itanium-closer-to-end.html. 
  134. Shilov, Anton. "HP: mission-critical servers business improves as Itanium fades away". https://www.kitguru.net/professional/server/anton-shilov/hp-mission-critical-servers-business-improves-as-itanium-fades-away/. 
  135. Shah, Agam (2 December 2014). "HP sees HP-UX sticking around for 10 years". https://www.computerworld.com/article/2853998/hp-sees-hp-ux-sticking-around-for-10-years.html. 
  136. "Intel® Itanium® Processor". https://www-ssl.intel.com/content/www/us/en/products/processors/itanium.html. 
  137. "Intel® Itanium® Processor 9300, 9500 and 9700 Series Specification Update". Intel. https://www.intel.com/content/dam/www/public/us/en/documents/specification-updates/9300-9500-9700-series-spec-update.pdf. 
  138. Cutress, Ian (May 11, 2017). "Intel's Itanium Takes One Last Breath: Itanium 9700 Series CPUs Released". Anandtech. http://www.anandtech.com/show/11372/intels-itanium-takes-one-last-breath-9700-series-released. 
  139. 139.0 139.1 Cite error: Invalid <ref> tag; no text was provided for refs named Davis 2017
  140. "Products formerly Kittson". https://ark.intel.com/content/www/us/en/ark/products/codename/32203/kittson.html. 
  141. Patrizio, Andy (October 12, 2007). "Intel Plows Forward With Itanium". InternetNews.com. http://www.internetnews.com/ent-news/article.php/3705016. 
  142. IDC World Wide Server Tracker, Q2'08
  143. 143.0 143.1 143.2 143.3 Vance, Ashlee (February 9, 2009). "Ten Years After First Delay, Intel's Itanium Is Still Late". The New York Times. http://bits.blogs.nytimes.com/2009/02/09/ten-years-after-first-delay-intels-itanium-is-still-late/. 
  144. 144.0 144.1 Morgan, Timothy Prickett (February 24, 2010). "Gartner report card gives high marks to x64, blades". TheRegister.com. https://www.theregister.co.uk/2010/02/24/gartner_q4_2009_servers/. 
  145. "Intel shifts gears on Itanium, raising questions about the server chip's future". http://www.pcworld.com/article/2028587/intel-shifts-gears-on-itanium-raising-questions-about-the-server-chips-future.html. 
  146. Vance, Ashlee (June 1, 2006). "HP grabs 90% of 'industry standard' Itanic market". The Register. https://www.theregister.co.uk/2006/06/01/itanic_q1_gartner/. 
  147. "Intel Server System SR9000MK4U Technical Product Specification". Intel web site. January 2007. http://support.intel.com/support/motherboards/server/SR9000MK4U/sb/CS-023638.htm. 
  148. "Not Vulnerable - Intel Itanium/Secure64 SourceT - Secure 64" (in en-US). Secure 64. January 9, 2018. https://secure64.com/not-vulnerable-intel-itanium-secure64-sourcet/. 
  149. "Intel® Itanium® 2 Processor Datasheet". page 9. Intel. http://download.intel.com/design/Itanium2/datashts/25094505.pdf. 
  150. "Dual-Core Intel® Itanium® 2 Processor 9000 Series Datasheet". page 9. Intel. http://download.intel.com/design/Itanium2/datashts/31405401.pdf. 
  151. "Intel backtracks on 'Kittson' Itanium plans, including shift to 22 nanometers.". https://www.theregister.co.uk/2013/02/08/intel_kills_itanium_xeon_convergence_and_kittson/. 
  152. 152.0 152.1 152.2 Shankland, Stephen (February 25, 2005). "Sources: IBM ditching Itanium altogether". CNET News. https://www.cnet.com/news/sources-ibm-ditching-itanium-altogether/. 
  153. "Intel 460GX Chipset Datasheet". Intel. http://developer.intel.com/design/itanium/downloads/24870301.pdf. 
  154. Mueller, Scott; Soper, Mark Edward; Sosinsky, Barrie (2006). Upgrading and Repairing Servers. Pearson Education. ISBN 0132796988. https://books.google.com/books?id=9cLFf_1PBnkC&pg=PT301. Retrieved 6 April 2022. 
  155. Shimpi, Anand Lal. "Intel's 820 Chipset - Performance using SDRAM". https://www.anandtech.com/show/465. 
  156. Shimpi, Anand Lal. "Rambus DRAM Part 2: Performance". https://www.anandtech.com/show/551. 
  157. Briggs, Fayé (7 August 2002). "Intel 870: a building block for cost-effective, scalable servers". IEEE Micro 22 (2 (March–April)): 36–47. doi:10.1109/MM.2002.997878. https://citeseerx.ist.psu.edu/viewdoc/download?doi=10.1.1.140.2915&rep=rep1&type=pdf. 
  158. "Intel® E8870 Scalable Node Controller (SNC) Datasheet". Intel. http://www.intel.com/design/chipsets/datashts/25111203.pdf. 
  159. "Intel® E8870IO Server I/O Hub (SIOH) Datasheet". Intel. http://intel.com/design/chipsets/datashts/25111103.pdf. 
  160. "Intel Outlines Platform Innovations For More Manageable, Balanced And Secure Enterprise Computing". Intel. https://www.intel.com/pressroom/archive/releases/2004/20040218corp.htm. 
  161. "Overview of the new Itanium® 2-based HP servers rx2600 and rx5670: how HP is putting Intel® Itanium 2 processors to work". page 17. Hewlett-Packard. http://www.hp.com/products1/itanium/infolibrary/whitepapers/5981_2240EN.pdf. 
  162. "HP Integrity rx2620 Server". http://h18000.www1.hp.com/products/quickspecs/12141_div/12141_div.PDF. 
  163. "HP Integrity rx4640-8 Server". http://h18000.www1.hp.com/products/quickspecs/11847_div/11847_div.PDF. 
  164. "HP Integrity rx5670 Server summary". http://www.hp.com/products1/servers/integrity/entry_level/rx5670/index.html. 
  165. Turner, Vernon; Rau, Shane. "HP's sx1000 Chipset: Innovation Atop Standardization". IDC (sponsored by HP). http://h71028.www7.hp.com/ERC/downloads/sx1000_White_Paper_.pdf. 
  166. "Meet the HP Integrity Superdome: A white paper from HP". http://www.hp.com/products1/servers/integrity/superdome_high_end/infolibrary/Superdome_wp.pdf. 
  167. "Itanium®–based midrange servers from HP— the HP Integrity rx7620-16 and rx8620-32 Servers". http://h21007.www2.hp.com/dspp/files/unprotected/integritymidrangejan05.pdf. 
  168. "User Service Guide HP Integrity Superdome/sx2000 and HP 9000 Superdome/sx2000 Servers". Hewlett-Packard. September 2009. http://archive.org/details/manualzilla-id-7031299. 
  169. "Overview of the HP Integrity rx2660, rx3600, and rx6600 Servers". https://shoredata.us.com/wp-content/uploads/2016/03/rx6600.pdf. 
  170. "HP Integrity systems Family guide". https://www.hp.com/ch-de/pdf/harness_family_brosch_re_4aa3-4519enw_tcm_179_1247486.pdf. 
  171. "IBM Eserver xSeries 455 Planning and Installation Guide". IBM/Lenovo. https://lenovopress.com/sg247056.pdf. 
  172. Woodacre, Michael; Robb, Derek; Roe, Dean; Feind, Karl. "The SGI® Altix 3000 Global Shared-Memory Architecture". http://www.sgi.com/pdfs/3474.pdf. 
  173. Vogelsang, Reiner. "SGI® Altix™ Hardware Architecture". https://moodle.risc.jku.at/file.php/50/altix_hardware.pdf. 
  174. "SGI® Altix™ 350 System User's Guide". http://techpubs.sgi.com/library/manuals/4000/007-4660-002/pdf/007-4660-002.pdf. 
  175. "SGI® Altix® 3000 Servers and Superclusters". http://www.sgi.com/pdfs/3392.pdf. 
  176. "SGI® Altix® 4700 Servers and Supercomputers". http://www.sgi.com/pdfs/3867.pdf. 
  177. Vogelsang, Reiner. "SGI® Altix™ Hardware Architecture". http://wwwuser.gwdg.de/~parallel/parallelrechner/altix_documentation/Altix_Hardware_revised_4.pdf. 
  178. "SGI® L1 and L2 Controller Software User's Guide". http://techpubs.sgi.com/library/manuals/3000/007-3938-006/pdf/007-3938-006.pdf. 
  179. "Platforms Supported by NetBSD". https://www.netbsd.org/ports/. 
  180. "NetBSD/ia64". http://wiki.netbsd.org/ports/ia64/. 
  181. 181.0 181.1 Sabbagh, Dan (3 Feb 2000). "Trillian releases Linux code for Itanium". vnunet.com. http://www.vnunet.com/vnunet/news/2111194/trillian-releases-linux-code-itanium. 
  182. "Leading Linux Distributors Join the Trillian Project". Red Hat (Press release). December 20, 1999. Retrieved 2007-03-20.
  183. "IATO simulation environment". http://www.irisa.fr/caps/projects/ArchiCompil/iato/. 
  184. Shankland, Stephen. "Fedora for Itanium taking baby steps" (in en). https://www.cnet.com/news/fedora-for-itanium-taking-baby-steps/. 
  185. Connor, Deni (2005-01-06). "Novell releases SuSE Linux for HP Itanium servers" (in en). https://www.networkworld.com/article/2328219/novell-releases-suse-linux-for-hp-itanium-servers.html. 
  186. "CentOS 5 Linux released" (in en). https://www.itpro.co.uk/110119/centos-5-linux-released. 
  187. "Project:IA-64". https://wiki.gentoo.org/wiki/Project:IA-64. "The Gentoo/IA-64 Project works to keep Gentoo the most up to date and fastest IA-64 distribution available." 
  188. 188.0 188.1 Ricknäs, Mikael (2009-12-21). "Red Hat to drop Itanium support in Enterprise Linux 6" (in en). https://www.computerworld.com/article/2522241/red-hat-to-drop-itanium-support-in-enterprise-linux-6.html. 
  189. Clark, Jack. "SPARC and Itanium support discontinued in Ubuntu 10.10" (in en). https://www.zdnet.com/article/sparc-and-itanium-support-discontinued-in-ubuntu-10-10/. 
  190. Tim Anderson. "'It's dead, Jim': Torvalds marks Intel Itanium processors as orphaned in Linux kernel" (in en). https://www.theregister.com/2021/02/01/linux_pulls_itanium_support/. 
  191. "kernel/git/torvalds/linux.git - Linux kernel source tree". https://git.kernel.org/pub/scm/linux/kernel/git/torvalds/linux.git/commit/?id=228345bf98cd78f91d007478a51f9a471489e44a. 
  192. "Compaq OpenVMS Times". January 2002. http://h71000.www7.hp.com/openvmstimes/openvmstimes.pdf. 
  193. 193.0 193.1 193.2 Clair Grant (June 2005). "Porting OpenVMS to HP Integrity Servers". OpenVMS Technical Journal 6. http://www.decus.de/events/alphamigration/vortraege/porting_openvms_to_integrity.pdf. Retrieved 2021-11-22. 
  194. Patrick Thibodeau (July 31, 2014). "HP gives OpenVMS new life". Computerworld. https://www.computerworld.com/article/2490683/operating-systems-hp-gives-openvms-new-life.html. 
  195. "OpenVMS Roadmap". HPE. July 2019. https://support.hpe.com/hpesc/public/docDisplay?docId=a00083646en_us. 
  196. 196.0 196.1 "OpenVMS – A guide to the strategy and roadmap". https://vmssoftware.com/about/roadmap/. 
  197. "HPE NonStop OS". HPE. April 2018. https://www.hpe.com/psnow/doc/4AA0-6149ENW. 
  198. "HPE NonStop family of systems". HPE. May 2021. https://assets.ext.hpe.com/is/content/hpedam/documents/4aa4-2000-2999/4aa4-2988/4aa4-2988enw.pdf. 
  199. Prashanth Kamath U (2019-07-30). "News from HPE's NonStop Enterprise Division". https://connect2nonstop.com/2371-2/. 
  200. Shankland, Stephen. "Itanium backers work to boost GCC" (in en). https://www.cnet.com/news/itanium-backers-work-to-boost-gcc/. 
  201. "Intel Itanium IA-64 Support To Be Deprecated By GCC 10, Planned Removal In GCC 11". https://www.phoronix.com/scan.php?page=news_item&px=Intel-IA-64-GCC-Deprecation. 
  202. "Remove the IA-64 backend. · llvm/llvm-project@1715115 · GitHub". Jul 24, 2009. https://github.com/llvm/llvm-project/commit/17151155ed8f83dcbb5db69bca2839ac2da19e0e. 
  203. Novakovic, Nebojsa (September 25, 2008). "Supercomputing now dominated by X86 architecture". http://www.theinquirer.net/gb/inquirer/news/2008/09/24/idc-performance-computing. 
  204. Butler, Andrew (October 3, 2008). "Preparing for Tukwila: The Next Generation of Intel's Itanium Processor Family". http://www.gartner.com/DisplayDocument?ref=g_rss&id=770312. 
  205. "Processor Generation / Itanium 2 Montecito". TOP500 web site. https://www.top500.org/statistics/list/.  Select "June 2012" and "Processor Generation"
  206. Vance, Ashlee (May 6, 2004). "HP rides Hondo to super-sized Itanium servers". https://www.theregister.co.uk/2004/05/06/hp_mx2_itaniummodule/. 
  207. "New Intel Itanium Processor 9500 Delivers Breakthrough Capabilities for Mission-Critical Computing". Intel. http://newsroom.intel.com/community/intel_newsroom/blog/2012/11/08/new-intel-itanium-processor-9500-delivers-breakthrough-capabilities-for-mission-critical-computing. 
  208. Shilov, Anton. "Intel Launches Eight-Core Itanium 9500 "Poulson" Mission-Critical Server Processor". X-bit Labs. http://www.xbitlabs.com/news/cpu/display/20121108120233_Intel_Launches_Eight_Core_Itanium_9500_Poulson_Mission_Critical_Server_Processor.html. 
  209. Kanter, David. "Poulson: The Future of Itanium Servers". Real World Tech. http://www.realworldtech.com/poulson/. 
  210. Undy, Steve. "WHITE PAPER Intel Itanium Processor 9500 Series". Intel. http://www.intel.com/content/dam/www/public/us/en/documents/white-papers/itanium-9500-massive-parallelism-mission-critical-computing-paper.pdf. 
  211. "Benchmarks – Itanic 32bit emulation is 'unusable'. No kidding — slower than a P100". January 23, 2001. https://www.theregister.co.uk/2001/01/23/benchmarks_itanic_32bit_emulation/. 
  212. Dvorak, John C. (January 26, 2009). "How the Itanium Killed the Computer Industry". PC Mag. https://www.pcmag.com/article.aspx/curl/2339629. 
  213. Knuth, Donald E. (April 25, 2008). "Interview with Donald Knuth". InformIT. http://www.informit.com/articles/article.aspx?p=1193856. 
  214. Reger, Dan (April 2, 2010). "Windows Server 2008 R2 to Phase Out Itanium". Windows Server Blog. https://cloudblogs.microsoft.com/windowsserver/2010/04/02/windows-server-2008-r2-to-phase-out-itanium/. 
  215. Timothy Prickett Morgan (December 18, 2009). "Red Hat pulls plug on Itanium with RHEL 6". The Register. https://www.theregister.co.uk/2009/12/18/redhat_rhel6_itanium_dead/. 
  216. 216.0 216.1 Nystedt, Dan (March 22, 2011). "Oracle stops developing software for Intel's Itanium Chips". https://www.pcworld.com/article/495910/article-2090.html. 
  217. "HP wins judgment in Itanium suit against Oracle". August 2012. https://arstechnica.com/information-technology/2012/08/hp-wins-judgement-in-itanium-suit-against-oracle/. 
  218. "HP awarded $3B in damages from Oracle over Itanium database cancelation". July 2016. https://arstechnica.com/information-technology/2016/06/hp-awarded-3b-in-damages-from-oracle-over-itanium-database-cancellation/. 
  219. "Oracle Loses $3 Million Verdict For Ditching HP Itanium Chip". Bloomberg.com. 30 June 2016. https://www.bloomberg.com/news/articles/2016-06-30/oracle-ordered-to-pay-hp-3-billion-by-jury-for-itanium-damages. 
  220. Brittain, Blake (15 June 2021). "Oracle loses bid to upend HP's $3 billion win". https://www.reuters.com/legal/transactional/oracle-loses-bid-upend-hps-3-billion-win-2021-06-14/. 
  221. Vance, Ashlee (May 21, 2009). "A Decade Later, Intel's Itanium Chip Makes a Profit". The New York Times. http://bits.blogs.nytimes.com/2009/11/17/a-decade-later-intels-itanium-chip-makes-a-profit/. 
  222. Darling, Patrick (March 23, 2011). "Intel Reaffirms Commitment to Itanium". Chip Shots. Intel. https://newsroom.intel.com/chip-shots/chip-shot-intel-reaffirms-commitment-to-itanium/#gs.6ytayt. 
  223. Manek Dubash (July 20, 2006). "Will Intel abandon the Itanium?". Techworld. http://features.techworld.com/operating-systems/2690/will-intel-abandon-the-itanium/. "Once touted by Intel as a replacement for the x86 product line, expectations for Itanium have been throttled well back." 
  224. Demerjian, Charlie (September 26, 2003). "Why Intel's Prescott will use AMD64 extensions". http://www.theinquirer.net/inquirer/news/1029651/why-intels-prescott-will-use-amd64--extensions. 
  225. Markoff, John (June 9, 1994). "COMPANY NEWS; Intel Forms Chip Pact With Hewlett-Packard". The New York Times. https://query.nytimes.com/gst/fullpage.html?res=980DE0D6153AF93AA35755C0A962958260. 
  226. "HP, Novell and SCO To Deliver High-Volume UNIX OS With Advanced Network And Enterprise Services" (Press release). Hewlett-Packard Company; Novell; SCO. September 20, 1995. Archived from the original on January 23, 2007. Retrieved April 25, 2007.
  227. Crothers, Brooke (October 23, 1996). "Compaq, Intel buddy up". CNET News.com. https://www.cnet.com/news/compaq-intel-buddy-up/. 
  228. Veitch, Martin (May 20, 1998). "Dell will aid Intel with IA-64". https://www.zdnet.com/article/dell-will-aid-intel-with-ia-64/. 
  229. Lisa DiCarlo (May 28, 1998). "Intel to delay release of Merced". PCWeek Online. http://www.zdnet.com/eweek/news/0525/29edelay.html. 
  230. "IBM Previews Technology Blueprint For Netfinity Server Line". IBM web site. September 9, 1998. http://www-03.ibm.com/press/us/en/pressrelease/2478.wss. 
  231. Stephen Shankland (July 21, 2000). "Sun, Intel part ways on Solaris plans". CNET News. http://www.cnet.com/news/sun-intel-part-ways-on-solaris-plans/. 
  232. Kanellos, Michael (November 14, 2001). "Itanium flunking Compaq server tests". News.com. http://www.news.com/Itanium-flunking-Compaq-server-tests/2100-1001_3-275850.html. 
  233. "Thunder at TOP500". TOP500 web site. http://www.top500.org/system/ranking/6762. 
  234. "Columbia at TOP500". TOP500 web site. http://www.top500.org/system/7288. 
  235. Morgan, Timothy (July 6, 2005). "HP Ramps Up OpenVMS on Integrity Servers". ITJungle.com. http://www.itjungle.com/breaking/bn070605-story01.html. 
  236. Shankland, Stephen (February 25, 2005). "IBM server design drops Itanium support". CNET News. http://www.cnet.com/news/ibm-server-design-drops-itanium-support/. 
  237. (Published on July 12, 2005) "CFP2000 Result – HITACHI BladeSymphony (1.66GHz/9MB Itanium 2)". SPEC web site. http://www.spec.org/cpu2000/results/res2005q3/cpu2000-20050628-04342.html. 
    (Tested on June 23).
  238. "Itanium Solutions Alliance Formed". Byte and Switch. September 26, 2005. http://www.byteandswitch.com/document.asp?doc_id=81342. 
  239. Shankland, Stephen (September 15, 2005). "Dell shuttering Itanium server business". CNET News. http://www.cnet.com/news/dell-shuttering-itanium-server-business/. 
  240. Preimesberger, Chris (July 19, 2006). "Is 'Montecito' Intel's Second Chance for Itanium?". eWeek. https://www.eweek.com/networking/is-montecito-intels-second-chance-for-itanium/. 
  241. "CentOS Product Specifications". Centos.org. http://www.centos.org/product.html. 
  242. Niccolai, James (April 4, 2009). "Microsoft Ending Support for Itanium". https://www.computerworld.com/article/2516742/microsoft-ending-support-for-itanium.html. ""Windows Server 2008 R2 will be the last version of Windows Server to support the Intel Itanium architecture," [...] "SQL Server 2008 R2 and Visual Studio 2010 are also the last versions to support Itanium."" 
  243. "Intel C++ Composer XE 2011 for Linux Installation Guide and Release Notes". http://software.intel.com/file/31854. 
  244. "Intel Reaffirms Commitment to Itanium". Newsroom.intel.com. March 23, 2011. http://newsroom.intel.com/community/intel_newsroom/blog/2011/03/23/chip-shot-intel-reaffirms-commitment-to-itanium. 
  245. McLaughlin, Kevin (March 28, 2011). "HP CEO Apotheker Slams Oracle For Quitting Itanium". Crn.com. http://www.crn.com/news/data-center/229400474/hp-ceo-apotheker-slams-oracle-for-quitting-itanium.htm. 
  246. Prickett, Timothy (April 14, 2011). "Huawei to forge big red Itanium iron". Theregister.co.uk. https://www.theregister.co.uk/2011/04/14/huawei_inspur_itanium_servers/. 
  247. McMillan, Robert (February 1, 2012). "HP Paid Intel $690 Million To Keep Itanium On Life Support". Wired (wired.com). https://www.wired.com/wiredenterprise/2012/02/hp-itanium/. Retrieved February 3, 2012. 
  248. "SAP Product availability Matrix". SAP. http://www.sdn.sap.com/irj/boc/index?rid=/library/uuid/10ccbdf2-139f-2e10-61a0-d4af67b6257b&overridelayout=true. 
  249. "Oracle Issues Statement" (Press release). Oracle Corporation. September 4, 2012. Archived from the original on March 8, 2013. Retrieved March 8, 2013.
  250. "Intel Itanium Processors Update". Intel Corporation. January 31, 2013. http://www.intel.com/content/www/us/en/processors/itanium/itanium-kittson-update.html. 
  251. "HP NonStop server update". Intel Corporation. November 5, 2013. http://www.itworld.com/data-center/381642/hp-replaces-itanium-x86-its-nonstop-server. 
  252. "OpenVMS Rolling Roadmap July 31, 2014". vmssoftware.com. July 31, 2014. http://www.vmssoftware.com/news/announcement/RM/VMS_Software_Roadmap.pdf. 
  253. Robinson, Daniel (December 5, 2014). "HP's Xeon-based Superdome is another nail in Itanium's coffin". V3.co.uk. http://www.v3.co.uk/v3-uk/opinion/2385152/hp-s-xeon-based-superdome-is-another-nail-in-itanium-s-coffin. 
  254. Agam Shah (February 14, 2017). "Intel ships latest Itanium chip called Kittson, but grim future looms". IDG News. http://www.itworld.com/article/3169622/cpu-processors/intel-ships-latest-itanium-chip-called-kittson-but-grim-future-looms.html. 
  255. "Hewlett Packard Enterprise (HPE) Changes May Affect Many OpenVMS Customers". https://vmssoftware.com/about/news/2020-04-06-hpe-ends-hw-programs-which-affects-many-openvms-customers/. 
  256. "'It's dead, Jim': Torvalds marks Intel Itanium processors as orphaned in Linux kernel". https://www.theregister.com/2021/02/01/linux_pulls_itanium_support/. 

External links