Timing Library Format

From HandWiki

Timing Library Format (abbreviated TLF) is a file format used by electronic design automation tools. A TLF file is a text file in nature[1] and contains timing and logical information about a collection of cells (circuit elements). The TLF file contains information on the timing and power parameters of the cell library. It is used to determine delays of I/O ports and interconnects of the final design.

References