Physics:Molecular layer deposition

From HandWiki
Short description: Vapour phase thin film deposition technique

Molecular layer deposition (MLD) is a vapour phase thin film deposition technique based on self-limiting surface reactions carried out in a sequential manner.[1] Essentially, MLD resembles the well established technique of atomic layer deposition (ALD) but, whereas ALD is limited to exclusively inorganic coatings, the precursor chemistry in MLD can use small, bifunctional organic molecules as well. This enables, as well as the growth of organic layers in a process similar to polymerization, the linking of both types of building blocks together in a controlled way to build up organic-inorganic hybrid materials.

Even though MLD is a known technique in the thin film deposition sector, due to its relative youth it is not as explored as its inorganic counterpart, ALD, and a wide sector development is expected in the upcoming years.

History

Molecular layer deposition is a sister technique of atomic layer deposition. While the history of atomic layer deposition dates back to the 1970s, thanks to the independent work of Valentin Borisovich Aleskovskii.[2] and Tuomo Suntola,[3] the first MLD experiments with organic molecules were not published until 1991, when an article from Tetsuzo Yoshimura and co-workers appeared[4] regarding the synthesis of polyimides using amines and anhydrides as reactants.[5] After some work on organic compounds along the 1990s, the first papers related to hybrid materials emerged, after combining both ALD and MLD techniques.[6][7] Since then, the number of articles submitted per year on molecular layer deposition has increased steadily, and a more diverse range of deposited layers have been observed, including polyamides,[8][9][10] polyimines,[11] polyurea,[12] polythiourea[13] and some copolymers,[14] with special interest in the deposition of hybrid films.

Reaction mechanism

In similar fashion to an atomic layer deposition process, during an MLD process the reactants are pulsed on a sequential, cyclical manner, and all gas-solid reactions are self-limiting on the sample substrate. Each of these cycles are called MLD cycles and layer growth is measured as Growth Per Cycle (GPC), usually expressed in nm/cycle or Å/cycle.[1] During a model, two precursor experiment, an MLD cycle proceeds as follows:

First, precursor 1 is pulsed in the reactor, where it reacts and chemisorbs to the surface species on the sample surface. Once all adsorption sites have been covered and saturation has been reached, no more precursor will attach, and excess precursor molecules and generated byproducts are withdrawn from the reactor, either by purging with inert gas or by pumping the reactor chamber down. Only when the chamber has been properly purged with inert gas/pumped down to base pressure (~ 10−6 mbar range) and all unwanted molecules from the previous step have been removed, can precursor 2 be introduced.[15] Otherwise, the process runs the risk of CVD-type growth, where the two precursors react in the gaseous phase before attaching to the sample surface, which would result in a coating with different characteristics.

Next, precursor 2 is pulsed, which reacts with the previous precursor 1 molecules anchored to the surface. This surface reaction is again self-limiting and, followed again by purging/pumping to base pressure the reactor, leaves behind a layer terminated with surface groups that can again react with precursor 1 in the next cycle. In the ideal case, the repetition of the MLD cycle will build up an organic/inorganic film one monatomic layer at a time, enabling highly conformal coatings with precise thickness control and film purity[15]

If ALD and MLD are combined, more precursors in a wider range can be used, both inorganic and organic.[5][6] In addition, other reactions can be included in the ALD/MLD cycles as well, such as plasma or radical exposures. This way, an experiment can be freely customised according to the research needs by tuning the number of ALD and MLD cycles and the steps contained within the cycles.[15]

Process chemistry and surface reactions

Precursor chemistry plays a key role in MLD. The chemical properties of the precursor molecules drive the composition, structure and stability of the deposited hybrid material. To reach the saturation stage in a short time and ensure a reasonable deposition rate, precursors must chemisorb on the surface, react rapidly with the surface active groups and react with each other. The desired MLD reactions should have a large negative G value.[16][17]

Organic compounds are employed as precursors for MLD. For their effective use, the precursor should have sufficient vapor pressure and thermal stability to be transported in the gas phase to the reaction zone without decomposing. Volatility is influenced by the molecular weight and intermolecular interactions. One of the challenges in MLD is to find an organic precursor that has sufficient vapor pressure, reactivity and thermal stability. Most organic precursors have low volatility, and heating is necessary to ensure the sufficient supply of vapor reaching the substrate. The backbone of the organic precursors can be flexible i.e., aliphatic, or rigid i.e., aromatics employed with the functional groups. The organic precursors usually are homo or heterobifunctional molecules with -OH, -COOH, -NH2, -CONH2, -CHO, -COCl, -SH, -CNO, -CN, alkenes, etc. functional groups. The bifunctional nature of the precursors is essential for continuous film growth as one group is expected to react with the surface and the other one is accessible to react with the next pulse of the co-reactant. The attached functional groups play a vital role in the reactivity and binding modes of the precursor and they should be able to react with the functional groups present at the surface. A flexible backbone may hinder the growth of a continuous and dense film by back coordination, blocking the reactive sites and thus lowering the film growth rate. Thus, finding a MLD precursor with all the above-mentioned requirements fulfilled is not straightforward process.[18]

Surface groups play a crucial role as reaction intermediates. The substrate is usually hydroxylated or hydrogen terminated and hydroxyls serve as reactive linkers for condensation reactions with metals. The inorganic precursor reacts with surface reactive groups via the corresponding linking chemistry that leads to the formation of new O-Metal bonds. The metal precursor step changes the surface termination, leaving the surface with new reactive sites ready to react with the organic precursor. The organic precursor reacts at the resulting surface by bonding covalently with the metal sites, releasing metal ligands and leaves another reactive molecular layer ready for the next pulse. Byproducts are released after each adsorption step and the reactions are summarised below.[19]

Process considerations

When performing an MLD process, as a variant of ALD, certain aspects need to be taken into account in order to obtain the desired layer with adequate purity and growth rate:

Saturation

Before starting an experiment, the researcher must know whether the process designed will yield saturated or unsaturated conditions.[20] If this information is unknown, it is a priority to get to know it in order to have accurate results. If not long enough precursor pulsing times are allowed, the surface reactive sites of the sample will not have sufficient time to react with the gaseous molecules and form a monolayer, which will be translated in a lower growth per cycle (GPC). To solve this issue, a saturation experiment can be performed, where the film growth is monitored in-situ at different precursor pulsing times, whose GPCs will then be plotted against pulsing time to find the saturation conditions.[20]

Additionally, too short purging times will result in remaining precursor molecules in the reactor chamber, which will be reactive in the gaseous phase towards the new precursor molecules introduced during the next step, obtaining an undesired CVD-grown layer instead.[20]

MLD window

Film growth usually depends on the temperature of deposition, on what is called MLD window,[1] a temperature range in which, ideally, film growth will remain constant. When working outside of the MLD window, a number of problems can occur:

  • When working at lower temperatures: limited growth, due to insufficient reactivity; or condensation, which will appear like a higher GPC than expected.[20]
  • When working at higher temperatures: precursor decomposition, which originates non-saturating uncontrolled growth; or desorption that will lower deposition rates.[20]

In addition, even when working within the MLD window, GPCs can still vary with temperature sometimes, due to the effect of other temperature-dependent factors, such as film diffusion, number of reactive sites or reaction mechanism.[1]

Non-idealities

Non-monolayer growth

When carrying out an MLD process, the ideal case of one monolayer per cycle is not usually applicable. In the real world, many parameters affect the actual growth rate of the film, which in turn produce non idealities like sub-monolayer growth (deposition of less than a full layer per cycle), island growth and coalescence of islands.[20]

Substrate effects

During an MLD process, film growth will usually achieve a constant value (GPC). However, during the first cycles, incoming precursor molecules will not interact with a surface of the grown material but rather with the bare substrate, and thus will undergo different chemical reactions with different reaction rates. As a consequence of this, growth rates can experience a substrate enhancement (faster substate-film reaction than film-film reactions) and therefore higher GPCs in the first cycles; or a substrate inhibition (slower substate-film reaction than film-film reactions), accompanied by a GPC decrease at the beginning. In any case, process growth rates can be very similar in both cases in some depositions.[21]

Lower than anticipated growth

In MLD, it is not strange to observe that, often, experiments yield lower than anticipated growth rates. The reason for this relies on several factors,[22] such as:

  • Molecule tilting: organic molecules with long chains are prone to not remaining completely perpendicular to the surface, lowering the number of surface sites.
  • Bidentate ligands: when a reacting molecule has two functional groups, it may bend and react with two surface sites instead of remaining straight on the surface. This has been shown, for instance, for titanicones grown with ethylene glycol and glycerol. Because glycerol has an additional hydroxyl group compared to ethylene glycol and is able to provide an extra reactive hydroxyl group in the case of a double reaction of the terminal hydroxyl groups with the surface.[23]
  • Steric hindrance: organic precursors are often bulky, and can cover several surface groups when attached to the surface.
  • Long pulsing times: organic precursors can have very low vapour pressures, and very long pulsing times may be necessary in order to achieve saturation. In addition, long purging times are usually needed to remove all unreacted molecules from the chamber afterward.
  • Low temperatures: to increase the precursor vapor pressure, one might think of increasing its temperature. Nevertheless, organic precursors are usually very thermally fragile, and a temperature increase may induce decomposition.
  • Gas-phase: many organic reactions are normally carried out in the liquid phase, and are therefore dependent of acid-base interactions or solvation effects. These effects are not present in the gaseous phase and, as a consequence, many processes will yield lower reaction rates or directly won't be possible.[1]

This phenomenon can be avoided as much as possible by using organic precursors with stiff backbones[24] or with more than two functional groups,[23] using a three step reaction sequence,[25] or using precursors in which ring-opening reactions occur.[26]

Physical state of precursors

Liquid precursors

High volatility and ease-of-handling make liquid precursors the preferred choice for ALD/MLD. Generally, liquid precursors have high enough vapor pressures at room temperature and hence require limited to no heating. They are also not prone to common problems with solid precursors like caking, particle size change, channeling and provide consistent and stable vapor delivery. Hence, some solid precursors with low melting points are generally used in their liquid states.

A carrier gas is usually employed to carry the precursor vapor from its source to the reactor. The precursor vapors can be directly entrained into this carrier gas with the help of solenoid and needle valves.[27] On the other hand, the carrier gas may been flown over the head space of a container containing the precursor or bubbled through the precursor. For the latter, dip-tube bubblers are very commonly used. The setup comprises a hollow tube (inlet) opening almost at the bottom of a sealed ampoule filled with precursor and an outlet at the top of the ampoule. An inert carrier gas like Nitrogen/Argon is bubbled through the liquid via the tube and led to the reactor downstream via the outlet. Owing to relatively fast evaporation kinetics of liquids, the outcoming carrier gas is nearly saturated with precursor vapor. The vapor supply to the reactor can be regulated by adjusting the carrier gas flow, temperature of the precursor and if needed, can be diluted further down the line. It must be ensured that the connections downstream from the bubbler are kept at high enough temperatures so as to avoid precursor condensation. The setup can also be used in spatial reactors which demand extremely high, stable and constant supply of precursor vapor.

In conventional reactors, hold cells can also be used as a temporary reservoir of precursor vapor.[28][29] In such a setup, the cell is initially evacuated. It is then opened to a precursor source and allowed to be filled with precursor vapor. The cell is then cut off from the precursor source. Depending upon the reactor pressure, the cell may then be pressurized with an inert gas. Finally, the cell is opened to the reactor and the precursor is delivered. This cycle of filling and emptying the hold (storage) cell can be synced with an ALD cycle. The setup is not suitable for spatial reactors which demand continuous supply of vapor.

Solid precursors

Solid precursors are not as common as liquid but are still used. A very common example of a solid precursor having potential applications in ALD for semiconductor industry is trimethylindium (TMIn). In MLD, some solid co-reactants like p-Aminophenol, Hydroquinone, p-Phenylenediamine can overcome the problem of double reactions faced by liquid reactants like Ethylene glycol. Their aromatic backbone can be attributed as one of the reasons for this. Growth rates obtained from such precursors is usually higher than precursors with flexible backbones.

However, most of the solid precursors have relatively low vapor pressures and slow evaporation kinetics.

For temporal setups, the precursor is generally filled in a heated boat and the overhead vapors are swept to the reactor by a carrier gas. However, slow evaporation kinetics make it difficult to deliver equilibrium vapor pressures. In order to ensure maximum saturation of a carrier gas with the precursor vapor, the contact between a carrier gas and the precursor needs to be long and sufficient. A simple dip-tube bubbler, commonly used for liquids, can be used for this purpose. But, the consistency in vapor delivery from such a setup is prone to evaporative/sublimative cooling of the precursor,[30][31] precursor caking, carrier gas channeling,[32] changes in precursor morphology and particle size change.[32] Also, blowing high flows of carrier gas through a solid precursor can lead to small particles being carried away to the reactor or a downstream filter thereby clogging it. In order to avoid these problems, the precursor may first be dissolved in a non-volatile inert liquid or suspended in it and the solution/suspension can then be used in a bubbler setup.[33]

Apart from this, some special vapor delivery systems have also been designed for solid precursors to ensure stable and consistent delivery of precursor vapor for longer durations and higher carrier flows.[32][34]

Gaseous precursors

ALD/MLD are both gas phase processes. Hence, precursors are required to be introduced into the reaction zones in their gaseous form. A precursor already existing in a gaseous physical state would make its transport to the reactor very straightforward and hassle free. For example, there will be no need of heating the precursor thereby reducing the risk of condensation. However, precursors are seldom available in gaseous state. On the other hand, some ALD co-reactants are available in gaseous form. Examples include H2S used for sulphide films;[35] NH3 used for nitride films;[36] plasmas of O2[37] and O3 [38] to produce oxides. The most common and straight forward way of regulating the supply of these co-reactants to the reactor is using a mass flow controller attached between the source and the reactor. They can also be diluted with an inert gas to control their partial pressure.

Film characterisation

Several characterisation techniques have evolved over time as the demand for creating ALD/MLD films for different applications has increased. This includes lab-based characterisation and efficient synchrotron-based x-ray techniques.

Lab-based characterisation

Since they both follow a similar protocol, almost all characterisation applicable to ALD generally applies to MLD as well. Many tools have been employed to characterise MLD film properties such as thickness, surface and interface roughness, composition, and morphology. Thickness and roughness (surface and interface) of a grown MLD film are of utmost importance and are usually characterised ex-situ by X-ray reflectivity (XRR).[39] In-situ techniques offer an easier and more efficient characterisation than their ex-situ counterparts, among which spectroscopic ellipsometry (SE)[40] and quartz crystal microbalance (QCM)[41] have become very popular to measure thin films from a few angstroms to a few micrometers with exceptional thickness control.[42][43]

X-ray photoelectron spectroscopy (XPS)[44] and X-ray diffractometry (XRD)[45] are widely used to gain insights into film composition and crystallinity, respectively, whereas atomic force microscopy (AFM)[46] and scanning electron microscopy (SEM)[47] are being frequently utilised to observe surface roughness and morphology. As MLD mostly deals with hybrid materials, comprising both organic and inorganic components, Fourier transform infrared spectroscopy (FTIR)[48] is an important tool to understand the new functional group added or removed during the MLD cycles and also it is a powerful tool to elucidate the underlying chemistry or surface reactions[25] during each sub cycle of an MLD process.

Synchrotron-based characterisation

A synchrotron is an immensely powerful source of x-rays that reaches energy levels which cannot be achieved in a lab-based environment. It produces synchrotron radiation, the electromagnetic radiation emitted when charged particles undergo radial acceleration, whose high power levels offer a deeper understanding of processes and lead to cutting-edge research outputs.[49] Synchrotron-based characterisations also offer potential opportunities for understanding the basic chemistry and developing fundamental knowledge about MLD processes and their potential applications.[50][51] The combination of in-situ X-ray fluorescence (XRF)[52] and Grazing incidence small angle X-ray scattering (GISAXS)[53] has been demonstrated as a successful methodology to learn the nucleation and growth during ALD processes[54][55] and, although this combination has not yet been investigated in detail to study MLD processes, it holds great potential to improve the understanding of initial nucleation and internal structure of the hybrid materials developed by MLD or by vapour phase infiltration (VPI).[56]

Potential applications

The main application for molecular scale-engineered hybrid materials relies on its synergetic properties, which surpass the individual performance of their inorganic and organic components. The main fields of application of MLD-deposited materials are[57]

  • Packaging / encapsulation: depositing ultrathin, pinhole-free and flexible coatings with improved mechanical properties (flexibility, stretchability, reduced brittleness). One example are gas-barriers on organic light emitting diodes (OLEDs).
  • Electronics: Tailoring materials with special mechanical and dielectric properties, such as advanced integrated circuits that require particular insulators or flexible thin film transistors with high-k gate dielectrics. Also, the recovery of energy wasted as heat as electric power with certain thermoelectric devices.
  • Biomedical applications: to enhance either cell growth, better adhesion or the opposite, generating materials with anti-bacterial properties. These can be used in research areas like sensing, diagnostics or medicine delivery.

Combining inorganic and organic building blocks on a molecular scale has proved to be challenging, due to the different preparative conditions needed for forming inorganic and organic networks. Current routes are often based on solution chemistry, e.g. sol-gel synthesis combined with spin-coating, dipping or spraying, to which MLD is an alternative.

MLD usage for dielectric materials.

Low-k

The dielectric constant (k) of a medium is defined as the ratio of the capacitor capacitances with and without medium.[58] Nowadays delay, crosstalk and power dissipation caused by the resistance of the metal interconnection and the dielectric layer of nanoscale devices have become the main factors that limit the performance of a device and, as electronic devices are scaled-down further, interconnect resistance capacitance (RC) delay may dominate the overall device speed. To solve this, current work is focused on minimising the dielectric constant of materials by combining inorganic and organic materials,[59] whose reduced capacitance allows for shrinkage of spacing between metal lines and, with it, the ability to decrease the number of metal layers in a device. In these kind of materials, the organic part must be hard and resistant and, for that purpose, metal oxides and fluorides are commonly used. However, since this materials are more brittle, organic polymers are also added, providing the hybrid material with low dielectric constant, good interstitial ability, high flatness, low residual stress, low thermal conductivity. In current research, great efforts are being put in order to prepare low-k materials by MLD with a k value of less than 3.[60]

High-k

Novel organic thin-film transistors require a high-performance dielectric layer, which should be thin and possess a high k-value. MLD makes tuning the high-k and dielectric strength possible by altering the amount and the ratio of the organic and inorganic components. Moreover, the usage of MLD allows to achieve better mechanical properties in terms of flexibility.

Various hybrid dielectrics have already been developed: zincone hybrids from zirconium tert-butoxide (ZTB) and ethylene glycol (EG);[61] Al2O3 based hybrids such as self-assembled MLD-deposited octenyltrichlorosilane (OTS) layers and Al2O3 linkers.[62] Additionally, dielectric Ti-based hybrid from TiCl4 and fumaric acid proved its applicability in charge memory capacitors.[63]

MLD for porous materials

MLD has high potential for the deposition of porous hybrid organic-inorganic and purely organic films, such as Metal-Organic Frameworks (MOFs) and Covalent-Organic Frameworks (COFs). Thanks to the defined pore structure and chemical tunability, thin films of these novel materials are expected to be incorporated in the next generation of gas sensors and low-k dielectrics.[64][65] Conventionally, thin films of MOFs and COFs are grown via solvent-based routes, which are detrimental in a cleanroom environment and can cause corrosion of the pre-existing circuitry.[64] As a cleanroom-compatible technique, MLD presents an attractive alternative, which has not been fully realized yet. As to date, there are no reports on direct MLD of MOFs and COFs. Scientists are actively developing other solvent-free all-gas-phase methods towards a true MLD process.

One of the early examples of an MLD-like process is the so-called "MOF-CVD". It was first realized for ZIF-8 utilizing a two-step process: ALD of ZnO followed by exposure to 2-methylimidazole linker vapor.[66] It was later extended to several other MOFs.[67][68] MOF-CVD is a single-chamber deposition method and the reactions involved exhibit self-limiting nature, bearing a strong resemblance to a typical MLD process.

An attempt to perform a direct MLD of a MOF by sequential reactions of a metal precursor and organic linker commonly results in a dense and amorphous film. Some of these materials can serve as a MOF precursor after a specific gas-phase post-treatment. This two-step process presents an alternative to the MOF-CVD. It has been successfully realized for a few prototypical MOFs: IRMOF-8,[69] MOF-5,[70] UiO-66,[71] Though the post-treatment step is necessary for MOF crystallization, it often requires harsh conditions (high temperature, corrosive vapors) that lead to rough and non-uniform films. A deposition with zero to minimum post-treatment is highly desirable for industrial applications.

MLD for conductive materials.

Conductive and flexible films are crucial for numerous emerging applications, such as displays, wearable devices, photovoltaics, personal medical devices, etc. For example, a zincone hybrid is closely related to a ZnO film and, therefore, may combine the conductivity of ZnO with the flexibility of an organic layer. Zincones can be deposited from diethylzinc (DEZ), hydroquinone (HQ) and water to generate a molecular chain in the form of (−Zn-O-phenylene-O−)n, which is an electrical conductor.[72] Measurements of a pure ZnO film showed a conductivity of ~14 S/m, while the MLD zincone showed ~170 S/m, demonstrating a considerable enhancement of the conductivity in the hybrid alloy of more than one order of magnitude.

MLD for energy storage

MLD coatings for battery electrodes

One of the main applications of MLD in the batteries field is to coat the battery electrodes with hybrid (organic-inorganic) coatings. The main reason being, these coatings can potentially protect the electrodes from the main sources of degradation, while not breaking. These coatings are more flexible than purely inorganic materials. Therefore, being able to cope with volume expansion occurring in the battery electrodes upon charge and discharge.

  • MLD coatings on anodes:The implementation of silicon anodes in batteries is extremely interesting due to its high theoretical capacity (4200mAh/g). Nevertheless, the huge volume change upon lithium alloying and dealloying is a big issue as it leads to the degradation of the silicon anodes. MLD thin film coatings, such as Alucones (AL-GL, AL-HQ), can be used on silicon as a buffering matrix, due to is high flexibility and toughness. Therefore, relieving the volume expansion for the Si anode, and leading to a significant improve in cycling performance.[73][74]
  • MLD coatings on cathodes:Li sulfur batteries are of great interest due to their high energy density, which makes it promising for applications such as electric vehicles (EVs) and hybrid electric vehicles (HEVs). However, their poor cycle life due to the dissolution of the polysulfides from the cathode, is detrimental for the battery performance. This fact, together with the large volume expansion are some of the main factors that lead to the poor electrochemical performance. Alucone coatings (AL-EG) on sulfur cathodes have been successfully used to face these issues.[50][75]

MLD for thermoelectric Materials

Atomic/molecular layer deposition (ALD/MLD) as a thin film deposition technology with high precision and control creates this opportunity to produce very good hybrid inorganic-organic superlattice structures. Adding organic barrier layers inside the inorganic lattice of the thermoelectric materials improves the thermoelectric efficiency. The aforementioned phenomenon is the result of a quenching effect that the organic barrier layers have on phonons. Consequently, the electrons that are mainly responsible for the electrical transport through the lattice, can pass through the organic layers mostly intact, while the phonons that are responsible for the thermal transport will be suppressed to some degree. Consequently, the resulting films will have better thermoelectric efficiency.

Practical Outlook

It is believed that the application of barrier layers along with other methods for increasing thermoelectric efficiency can help to produce thermoelectric modules that are non-toxic, flexible, cheap, and stable. One such case is thermoelectric oxides of earth-abundant elements. These oxides in comparison to other thermoelectric materials have lower thermoelectricity due to their higher thermal conductivity. Therefore, adding barrier layers, by means of ALD/MLD, is a good method to overcome this negative characteristic of oxides.

MLD for biomedical applications

Bioactive and biocompatible surfaces

MLD can also be applied to design of bioactive and biocompatible surfaces for targeted cell and tissue responses. Bioactive materials involve materials for regenerative medicine, tissue engineering (tissue scaffolds), biosensors etc. The important factors that can affect the cell-surface interaction, as well as the immune response of the system are surface chemistry (e.g. functional groups, surface charge and wettability) and surface topography.[76] Understanding these properties is crucial in order to control the attachment and proliferation of the cell, and resultant bioactivity of the surfaces. Furthermore, the choice of organic building blocks and a type of biomolecules (e.g. proteins, peptides or polysaccharides) during the formation of bioactive surfaces is a key factor for cellular response of the surface. MLD allows for the building of bioactive, precise structures by combining such organic molecules with inorganic biocompatible elements like titanium. The use of MLD for biomedical applications is not widely studied and is a promising field of research. This method enables surface modification and thus, can functionalize a surface.

A recent study published in 2017 used MLD to create bioactive scaffolds by combining titanium clusters with amino acids such as glycine, L-aspartic acid and L-arginine as organic linkers, to enhance rat conjunctival goblet cell proliferation.[77] This novel group of organic-inorganic hybrid materials was called titaminates. Also, the bioactive hybrid materials that contain titanium and primary nucleobases such as thymine, uracil and adenine show high (>85%) cell viability and potential application in the field of tissue engineering.[78][79]

Antimicrobial surfaces

Hospital-acquired infections caused by pathogenic microorganisms such as bacteria, viruses, parasites or fungi, are a major problem in modern healthcare.[80] A large number of these microbes developed the ability to stop popular antimicrobial agents (such as antibiotics and antivirals) from working against them. To overcome the increasing problem of antimicrobial resistance, it has become necessary to develop alternative and effective antimicrobial technologies to which pathogens will not be able to develop resistance.

One possible approach is to cover a surface of medical devices with antimicrobial agents e.g. photosensitive organic molecules. In the method called antimicrobial photodynamic inactivation[81] (aPDI), photosensitive organic molecules utilise light energy to form highly reactive oxygen species that oxidize biomolecules (like proteins, lipids and nucleic acids) leading to the pathogen death.[82][83] Furthermore, aPDI can locally treat the infected area, which is an advantage for small medical devices like dental implants. MLD is a suitable technique to combine such photosensitive organic molecules like aromatic acids with biocompatible metal clusters (i.e. zirconium or titanium) to create light-activated antimicrobial coatings with controlled thickness and accuracy. The recent studies show that the MLD-fabricated surfaces based on 2,6-naphthalenedicarboxylic acid and Zr-O clusters were successfully used against Enterococcus faecalis in the presence of UV-A irradiation.[84]

Advantages and limitations

Advantages

The main advantage of molecular layer deposition relates to its slow, cyclical approach. While other techniques may yield thicker films in shorter times, molecular layer deposition is known for its thickness control at Angstrom level precision. In addition, its cyclical approach yields films with excellent conformality, making it suitable for the coating of surfaces with complex shapes. The growth of multilayers consisting of different materials is also possible with MLD, and the ratio of organic/inorganic hybrid films can easily be controlled and tailored to the research needs.

Limitations

As well as in the previous case, the main disadvantage of molecular layer deposition is also related to it slow, cyclical approach. Since both precursors are pulsed sequentially during each cycle, and saturation needs to be achieved each time, the time required in order to obtain a film thick enough can easily be in the order of hours, if not days. In addition, before depositing the desired films it is always necessary to test and optimise all parameters for it to yield successful results.

In addition, another issue related to hybrid films deposited via MLD is their stability. Hybrid organic/inorganic films can degrade or shrink in H2O. However, this can be used to facilitate the chemical transformation of the films. Modifying the MLD surface chemistries can provide a solution to increase the stability and mechanical strength of hybrid films.

In terms of cost, regular molecular layer deposition equipment can cost between $200,000 and $800,000. What's more, the cost of the precursors used needs to be taken into consideration.[85]

Similar to the atomic layer deposition case, there are some rather strict chemical limitations for precursors to be suitable for molecular layer deposition.

MLD precursors must have[86]

  • Sufficient volatility
  • Aggressive and complete reactions
  • Thermal stability
  • No etching of the film or substrate material
  • Sufficient purity

In addition, it is advisable to find precursors with the following characteristics:

  • Gases or highly volatile liquids
  • High GPC
  • Unreactive, volatile byproducts
  • Inexpensive
  • Easy to synthesise and handle
  • Non-toxic
  • Environmentally friendly

References

  1. 1.0 1.1 1.2 1.3 1.4 "Organic and inorganic-organic thin film structures by molecular layer deposition: A review". Beilstein Journal of Nanotechnology 5: 1104–36. 22 July 2014. doi:10.3762/bjnano.5.123. PMID 25161845. 
  2. "Review Article: Recommended reading list of early publications on atomic layer deposition—Outcome of the "Virtual Project on the History of ALD"". Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 35 (1): 010801. January 2017. doi:10.1116/1.4971389. Bibcode2017JVSTA..35a0801A. 
  3. "Virtual project on the history of ALD". VPHA. http://www.vph-ald.com. 
  4. "Polymer films formed with monolayer growth steps by molecular layer deposition". Applied Physics Letters 59 (4): 482–484. 22 July 1991. doi:10.1063/1.105415. Bibcode1991ApPhL..59..482Y. 
  5. 5.0 5.1 "An overview of molecular layer deposition for organic and organic–inorganic hybrid materials: mechanisms, growth characteristics, and promising applications". Journal of Materials Chemistry A 5 (35): 18326–18378. 2017. doi:10.1039/C7TA04449F. 
  6. 6.0 6.1 "Rapid vapor-phase fabrication of organic-inorganic hybrid superlattices with monolayer precision". Journal of the American Chemical Society 129 (51): 16034–41. December 2007. doi:10.1021/ja075664o. PMID 18047337. 
  7. "Molecular Layer Deposition of Alucone Polymer Films Using Trimethylaluminum and Ethylene Glycol". Chemistry of Materials 20 (10): 3315–3326. May 2008. doi:10.1021/cm7032977. 
  8. "Layer-by-layer polycondensation of nylon 66 by alternating vapour deposition polymerization". Polymer 38 (2): 459–462. January 1997. doi:10.1016/S0032-3861(96)00504-6. 
  9. "Molecular layer deposition of poly(p-phenylene terephthalamide) films using terephthaloyl chloride and p-phenylenediamine". Langmuir 24 (5): 2081–9. March 2008. doi:10.1021/la7025279. PMID 18215079. 
  10. "Oligomer orientation in vapor-molecular-layer-deposited alkyl-aromatic polyamide films". Langmuir 28 (28): 10464–70. July 2012. doi:10.1021/la3017936. PMID 22765908. 
  11. "Monomolecular-Step Polymer Wire Growth from Seed Core Molecules by the Carrier-Gas-Type Molecular Layer Deposition". Applied Physics Express 2 (1): 015502. 16 January 2009. doi:10.1143/APEX.2.015502. Bibcode2009APExp...2a5502Y. 
  12. "Formation of organic nanoscale laminates and blends by molecular layer deposition". ACS Nano 4 (1): 331–41. January 2010. doi:10.1021/nn901013r. PMID 20000603. 
  13. "Deposition of Ultrathin Polythiourea Films by Molecular Layer Deposition". Chemistry of Materials 22 (19): 5563–5569. 12 October 2010. doi:10.1021/cm1016239. 
  14. "Synthesis of a Three-Layer Organic Thin Film Prepared by Sequential Reactions in the Absence of Solvents". Langmuir 16 (20): 7783–7788. October 2000. doi:10.1021/la000603o. 
  15. 15.0 15.1 15.2 How Atomic Layer Deposition (ALD) works on YouTube
  16. "Atomic layer deposition (ALD): from precursors to thin film structures". Thin Solid Films 409 (1): 138–146. April 2002. doi:10.1016/s0040-6090(02)00117-7. Bibcode2002TSF...409..138L. 
  17. "Organic and inorganic-organic thin film structures by molecular layer deposition: A review". Beilstein Journal of Nanotechnology 5: 1104–36. 2014-07-22. doi:10.3762/bjnano.5.123. PMID 25161845. 
  18. "Organic and inorganic-organic thin film structures by molecular layer deposition: A review". Beilstein Journal of Nanotechnology 5: 1104–36. 2014-07-22. doi:10.3762/bjnano.5.123. PMID 25161845. 
  19. Meng, Xiangbo (2017). "An overview of molecular layer deposition for organic and organic–inorganic hybrid materials: mechanisms, growth characteristics, and promising applications". Journal of Materials Chemistry A 5 (35): 18326–18378. doi:10.1039/c7ta04449f. ISSN 2050-7488. 
  20. 20.0 20.1 20.2 20.3 20.4 20.5 Puurunen, Riikka L. (15 June 2005). "Surface chemistry of atomic layer deposition: A case study for the trimethylaluminum/water process". Journal of Applied Physics 97 (12): 121301–121301–52. doi:10.1063/1.1940727. Bibcode2005JAP....97l1301P. 
  21. "Atomic Layer Deposition Process Development – 10 steps to successfully develop, optimize and characterize ALD recipes – Atomic Limits" (in en-US). https://www.atomiclimits.com/2019/02/12/atomic-layer-deposition-process-development-10-steps-to-successfully-develop-optimize-and-characterize-ald-recipes/. 
  22. "Surface chemistry for molecular layer deposition of organic and hybrid organic-inorganic polymers". Accounts of Chemical Research 42 (4): 498–508. April 2009. doi:10.1021/ar800105q. PMID 19249861. 
  23. 23.0 23.1 "Molecular layer deposition of "titanicone", a titanium-based hybrid material, as an electrode for lithium-ion batteries". Dalton Transactions 45 (3): 1176–84. January 2016. doi:10.1039/c5dt03840e. PMID 26662179. 
  24. "Deposition of Organic- Inorganic Hybrid Materials by Atomic Layer Deposition". ECS Transactions (ECS) 16 (4): 3–14. 2008. doi:10.1149/1.2979975. Bibcode2008ECSTr..16d...3N. 
  25. 25.0 25.1 "Molecular Layer Deposition of Hybrid Organic−Inorganic Alucone Polymer Films Using a Three-Step ABC Reaction Sequence". Chemistry of Materials 21 (22): 5365–5374. 2009-11-24. doi:10.1021/cm9013267. ISSN 0897-4756. 
  26. "Molecular Layer Deposition Using Ring-Opening Reactions: Molecular Modeling of the Film Growth and the Effects of Hydrogen Peroxide". ACS Omega 3 (7): 7141–7149. July 2018. doi:10.1021/acsomega.8b01301. PMID 31458876. 
  27. "Viscous flow reactor with quartz crystal microbalance for thin film growth by atomic layer deposition" (in en). Review of Scientific Instruments 73 (8): 2981–2987. August 2002. doi:10.1063/1.1490410. ISSN 0034-6748. Bibcode2002RScI...73.2981E. 
  28. "Effect of temperature and gas velocity on growth per cycle during Al 2 O 3 and ZnO atomic layer deposition at atmospheric pressure". Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 30 (1): 01A155. January 2012. doi:10.1116/1.3670961. Bibcode2012JVSTA..30aA155M. 
  29. "Atomic layer deposition of Al(2)O(3) and ZnO at atmospheric pressure in a flow tube reactor". ACS Applied Materials & Interfaces 3 (2): 299–308. February 2011. doi:10.1021/am100940g. PMID 21265563. 
  30. "The dynamics of bubblers as vapor delivery systems" (in en). Journal of Crystal Growth 129 (1–2): 119–133. March 1993. doi:10.1016/0022-0248(93)90441-X. Bibcode1993JCrGr.129..119L. 
  31. "Control of vapor feed from liquid precursors to the OMVPE process" (in en). Journal of Crystal Growth 393: 32–34. May 2014. doi:10.1016/j.jcrysgro.2013.10.020. Bibcode2014JCrGr.393...32W. 
  32. 32.0 32.1 32.2 "A study of cylinder design for solid OMVPE sources" (in en). Journal of Crystal Growth 221 (1–4): 635–639. December 2000. doi:10.1016/S0022-0248(00)00791-0. Bibcode2000JCrGr.221..635T. 
  33. "A method for dosing solid sources for MOVPE: excellent reproducibility of dosimetry from a saturated solution of trimethylindium" (in en). Journal of Crystal Growth 124 (1–4): 99–105. November 1992. doi:10.1016/0022-0248(92)90444-N. Bibcode1992JCrGr.124...99F. 
  34. "Bubbler for constant vapor delivery of a solid chemical" (in en). Journal of Crystal Growth 298: 168–171. January 2007. doi:10.1016/j.jcrysgro.2006.10.018. Bibcode2007JCrGr.298..168A. 
  35. "Atomic Layer Epitaxy". Annual Review of Materials Science 15 (1): 177–195. August 1985. doi:10.1146/annurev.ms.15.080185.001141. ISSN 0084-6600. Bibcode1985AnRMS..15..177S. 
  36. "In situ gravimetric monitoring of halogen transport atomic layer epitaxy of cubic-GaN" (in en). Applied Surface Science 159–160 (1–2): 427–431. June 2000. doi:10.1016/S0169-4332(00)00120-3. Bibcode2000ApSS..159..427K. 
  37. "Ultralow surface recombination of c-Si substrates passivated by plasma-assisted atomic layer deposited Al2O3" (in en). Applied Physics Letters 89 (4): 042112. 2006-07-24. doi:10.1063/1.2240736. ISSN 0003-6951. Bibcode2006ApPhL..89d2112H. https://research.tue.nl/nl/publications/ultralow-surface-recombination-of-csi-substrates-passivated-by-plasmaassisted-atomic-layer-deposited-al2o3(be05789d-338f-4ed5-800b-7b0fd8d2c447).html. 
  38. "Improvement in Al2O3 dielectric behavior by using ozone as an oxidant for the atomic layer deposition technique" (in en). Journal of Applied Physics 92 (11): 6739–6742. December 2002. doi:10.1063/1.1515951. ISSN 0021-8979. Bibcode2002JAP....92.6739K. 
  39. "Recent Developments in the X-Ray Reflectivity Analysis for Rough Surfaces and Interfaces of Multilayered Thin Film Materials". Journal of Materials 2013: 1–20. 2013-07-31. doi:10.1155/2013/678361. ISSN 2314-4866. 
  40. "Preface". Handbook of Ellipsometry. Elsevier. 2005. pp. xv–xvi. doi:10.1016/b978-081551499-2.50002-2. ISBN 978-0-8155-1499-2. 
  41. "Commercial quartz crystal microbalances – theory and applications". Biosensors and Bioelectronics 14 (8–9): 663–670. December 1999. doi:10.1016/s0956-5663(99)00040-8. ISSN 0956-5663. 
  42. "Molecular Layer Deposition of Alucone Polymer Films Using Trimethylaluminum and Ethylene Glycol". Chemistry of Materials 20 (10): 3315–3326. May 2008. doi:10.1021/cm7032977. ISSN 0897-4756. 
  43. "Molecular layer deposition of aluminum alkoxide polymer films using trimethylaluminum and glycidol". Langmuir 27 (24): 15155–64. December 2011. doi:10.1021/la202391h. PMID 22029704. 
  44. Andrade, Joseph D. (1985). "X-ray Photoelectron Spectroscopy (XPS)". Surface and Interfacial Aspects of Biomedical Polymers. Springer US. pp. 105–195. doi:10.1007/978-1-4684-8610-0_5. ISBN 978-1-4684-8612-4. 
  45. Jenkins, Ron (July 1974). "X-ray spectroscopy. Leonid Azaroff, McGraw-Hill, 1974. $20.00". X-Ray Spectrometry 3 (3): A21. doi:10.1002/xrs.1300030312. ISSN 0049-8246. Bibcode1974XRS.....3A..21J. 
  46. Giessibl, Franz J. (2003-07-29). "Advances in atomic force microscopy". Reviews of Modern Physics 75 (3): 949–983. doi:10.1103/revmodphys.75.949. ISSN 0034-6861. Bibcode2003RvMP...75..949G. 
  47. Zhou, Weilie; Apkarian, Robert; Wang, Zhong Lin; Joy, David (2006), "Fundamentals of Scanning Electron Microscopy (SEM)", Scanning Microscopy for Nanotechnology (Springer New York): pp. 1–40, doi:10.1007/978-0-387-39620-0_1, ISBN 978-0-387-33325-0 
  48. "Fourier transform infrared (FTIR) spectroscopy". Photosynthesis Research 101 (2–3): 157–70. 2009-06-10. doi:10.1007/s11120-009-9439-x. PMID 19513810. 
  49. "Stanovlenie Russko-Amerikanskikh Otnoshenii, 1775–1815 [The Establishment of Russian-American Relations, 1775–1815]. by <italic>N. N. Bolkhovitinov</italic> [Akademiia Nauk SSSR, Institut Istorii.] (Moscow: Izdatel'stvo "Nauka." 1966. Pp. 638)". The American Historical Review. February 1968. doi:10.1086/ahr/73.3.771. ISSN 1937-5239. 
  50. 50.0 50.1 "Safe and Durable High-Temperature Lithium-Sulfur Batteries via Molecular Layer Deposited Coating". Nano Letters 16 (6): 3545–9. June 2016. doi:10.1021/acs.nanolett.6b00577. PMID 27175936. Bibcode2016NanoL..16.3545L. https://escholarship.org/uc/item/0bk7g8vt. 
  51. "A novel approach in controlling the conductivity of thin films using molecular layer deposition". Applied Surface Science 357: 1319–1324. December 2015. doi:10.1016/j.apsusc.2015.09.155. Bibcode2015ApSS..357.1319L. 
  52. Beckhoff, Burkhard; Kanngießer, habil. Birgit; Langhoff, Norbert et al., eds (2006). Handbook of Practical X-Ray Fluorescence Analysis. doi:10.1007/978-3-540-36722-2. ISBN 978-3-540-28603-5. 
  53. "Grazing Incidence Small Angle X-Ray Scattering as a Tool for In- Situ Time-Resolved Studies". X-ray Scattering. InTech. 2017-01-25. doi:10.5772/64877. ISBN 978-953-51-2887-8. 
  54. "Independent tuning of size and coverage of supported Pt nanoparticles using atomic layer deposition". Nature Communications 8 (1): 1074. October 2017. doi:10.1038/s41467-017-01140-z. PMID 29057871. Bibcode2017NatCo...8.1074D. 
  55. "In Situ X-ray Fluorescence Measurements During Atomic Layer Deposition: Nucleation and Growth of TiO2 on Planar Substrates and in Nanoporous Films". The Journal of Physical Chemistry C 115 (14): 6605–6610. 2011-03-18. doi:10.1021/jp111314b. ISSN 1932-7447. 
  56. "What is VPI (Vapor Phase Infiltration)" (in en-US). https://ctechnano.com/coating-technologies/what-is-vpi-vapor-phase-infiltration/. 
  57. "HYCOAT Innovative Training Network | Functional Hybrid Coatings by Molecular Layer Deposition | H2020 Marie Curie Actions". http://www.hycoat.eu/. 
  58. "Low-k dielectric materials". Materials Today 7 (1): 34–39. January 2004. doi:10.1016/s1369-7021(04)00053-7. ISSN 1369-7021. 
  59. "Atomic Layer Deposition of Organic-Inorganic Hybrid Materials Based on Unsaturated Linear Carboxylic Acids". European Journal of Inorganic Chemistry 2011 (34): 5305–5312. 2011-11-02. doi:10.1002/ejic.201100192. ISSN 1434-1948. 
  60. "Effective repair to ultra-low-k dielectric material (k~2.0) by hexamethyldisilazane treatment". Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures 20 (4): 1334. 2002. doi:10.1116/1.1488645. Bibcode2002JVSTB..20.1334M. 
  61. "Molecular Layer Deposition of Zircone and ZrO2/Zircone Alloy Films: Growth and Properties". Chemical Vapor Deposition 19 (4–6): 204–212. 2013-05-22. doi:10.1002/cvde.201207045. ISSN 0948-1907. 
  62. "2 for Two-Dimensional Material-Based Devices". ACS Nano 11 (10): 10243–10252. October 2017. doi:10.1021/acsnano.7b04813. PMID 28832118. 
  63. "Growth characteristics of Ti-based fumaric acid hybrid thin films by molecular layer deposition". Dalton Transactions 44 (33): 14782–92. September 2015. doi:10.1039/c5dt00384a. PMID 26219386. 
  64. 64.0 64.1 "An updated roadmap for the integration of metal-organic frameworks with electronic devices and chemical sensors". Chemical Society Reviews 46 (11): 3185–3241. June 2017. doi:10.1039/C7CS00122C. PMID 28452388. https://lirias.kuleuven.be/handle/123456789/650290. 
  65. "Electroactive Organic Building Blocks for the Chemical Design of Functional Porous Frameworks (MOFs and COFs) in Electronics". Chemistry: A European Journal 26 (48): 10912–10935. April 2020. doi:10.1002/chem.202001211. PMID 32293769. 
  66. "Chemical vapour deposition of zeolitic imidazolate framework thin films". Nature Materials 15 (3): 304–10. March 2016. doi:10.1038/nmat4509. PMID 26657328. Bibcode2016NatMa..15..304S. https://lirias.kuleuven.be/handle/123456789/551545. 
  67. "Integrated Cleanroom Process for the Vapor-Phase Deposition of Large-Area Zeolitic Imidazolate Framework Thin Films" (in en). Chemistry of Materials 31 (22): 9462–9471. 2019-11-26. doi:10.1021/acs.chemmater.9b03435. ISSN 0897-4756. https://bib-pubdb1.desy.de/record/426613. 
  68. "Vapour-phase deposition of oriented copper dicarboxylate metal-organic framework thin films". Chemical Communications 55 (68): 10056–10059. September 2019. doi:10.1039/C9CC05161A. PMID 31369024. https://pubs.rsc.org/en/content/articlelanding/2019/cc/c9cc05161a. 
  69. "Studies on atomic layer deposition of IRMOF-8 thin films". Journal of Vacuum Science & Technology A 33 (1): 01A121. 2014-11-11. doi:10.1116/1.4901455. ISSN 0734-2101. http://urn.fi/URN:NBN:fi:jyu-201601211230. 
  70. "Studies on atomic layer deposition of MOF-5 thin films". Microporous and Mesoporous Materials 182: 147–154. 2013-12-01. doi:10.1016/j.micromeso.2013.08.024. ISSN 1387-1811. 
  71. "All-gas-phase synthesis of UiO-66 through modulated atomic layer deposition". Nature Communications 7 (1): 13578. November 2016. doi:10.1038/ncomms13578. PMID 27876797. Bibcode2016NatCo...713578L. 
  72. Yoon, Byunghoon; Lee, Byoung H.; George, Steven M. (2012-11-13). "Highly Conductive and Transparent Hybrid Organic–Inorganic Zincone Thin Films Using Atomic and Molecular Layer Deposition". The Journal of Physical Chemistry C 116 (46): 24784–24791. doi:10.1021/jp3057477. ISSN 1932-7447. 
  73. "Reversible high-capacity Si nanocomposite anodes for lithium-ion batteries enabled by molecular layer deposition". Advanced Materials 26 (10): 1596–601. March 2014. doi:10.1002/adma.201304714. PMID 24353043. 
  74. "Cross-linked aluminum dioxybenzene coating for stabilization of silicon electrodes". Nano Energy 22: 202–210. April 2016. doi:10.1016/j.nanoen.2016.02.021. 
  75. "Superior stable sulfur cathodes of Li-S batteries enabled by molecular layer deposition". Chemical Communications 50 (68): 9757–60. September 2014. doi:10.1039/C4CC04097J. PMID 25026556. 
  76. "Surface modification of polyester biomaterials for tissue engineering". Biomedical Materials 2 (4): R24-37. December 2007. doi:10.1088/1748-6041/2/4/R02. PMID 18458475. 
  77. "Bioactive titaminates from molecular layer deposition". RSC Advances 7 (34): 20900–20907. 2017-04-10. doi:10.1039/C7RA01918A. Bibcode2017RSCAd...720900M. 
  78. "Molecular layer deposition builds biocompatible substrates for epithelial cells". Journal of Biomedical Materials Research. Part A 106 (12): 3090–3098. December 2018. doi:10.1002/jbm.a.36499. PMID 30194710. 
  79. "Biocompatible organic-inorganic hybrid materials based on nucleobases and titanium developed by molecular layer deposition". Beilstein Journal of Nanotechnology 10 (1): 399–411. 2019-02-08. doi:10.3762/bjnano.10.39. PMID 30800579. 
  80. WHO, 2019 Antibacterial agents in clinical development – an analysis of the antibacterial clinical development pipeline. Geneva: World Health Organization; 2019. Licence: CC BY-NC-SA 3.0 IGO.
  81. "Antimicrobial Photodynamic Inactivation Mediated by Tetracyclines in Vitro and in Vivo: Photochemical Mechanisms and Potentiation by Potassium Iodide". Scientific Reports 8 (1): 17130. November 2018. doi:10.1038/s41598-018-35594-y. PMID 30459451. Bibcode2018NatSR...817130X. 
  82. "Antimicrobial photodynamic inactivation: a bright new technique to kill resistant microbes". Current Opinion in Microbiology 33: 67–73. October 2016. doi:10.1016/j.mib.2016.06.008. PMID 27421070. 
  83. "A Light-Activated Antimicrobial Surface Is Active Against Bacterial, Viral and Fungal Organisms". Scientific Reports 7 (1): 15298. November 2017. doi:10.1038/s41598-017-15565-5. PMID 29127333. Bibcode2017NatSR...715298W. 
  84. "MOF thin films with bi-aromatic linkers grown by molecular layer deposition" (in en). Journal of Materials Chemistry A 8 (5): 2539–2548. 2020. doi:10.1039/C9TA09303F. 
  85. "Molecular Beam Epitaxy, Thin Film Deposition and Atomic Layer Deposition Systems - SVT Associates". http://www.svta.com/. 
  86. Nalwa, Hari Singh (2002). Handbook of thin film materials. San Diego: Academic Press. ISBN 9780125129084. OCLC 162575792. [page needed]

External links