Physics:Sequential infiltration synthesis

From HandWiki

Derived from atomic layer deposition (ALD), sequential infiltration synthesis (SIS) is a technique in which a polymer is infused with inorganic material using sequential, self-limiting exposures to gaseous precursors, allowing for the precise control over the composition, structure and properties of materials.[1][2][3][4][5][6][7] This synthesis involves metal-organic vapor-phase precursors and co-reactants dissolving and diffusing into polymers, interacting with the polymer functional groups via reversible complex formation and/or irreversible chemical reactions yielding desired composite materials, which may be nanostructured. The metal-organic precursor (A) and co-react vapor (B) are supplied in an alternating, ABAB… sequence. Following SIS, the organic phase can be removed thermally or chemically to leave only the inorganic components behind. The precise control over the infiltration and synthesis via SIS allows the creation of materials with tailored properties like composition, mechanics, stoichiometry, porosity, conductivity, refractive index, and chemical functionality on the nanoscale.[8] This empowers SIS to have a wide range of applications from electronics to energy storage to catalysis. SIS is sometimes referred to as "multiple pulsed vapor-phase infiltration" (MPI),[9] "vapor phase infiltration" (VPI)[10]” or "sequential vapor infiltration" (SVI)[11]".

Although SIS is derived from ALD, the two techniques differ in significant ways. SIS involves the 3D distribution of functional groups in polymers, while ALD is associated with the impermeable 2D distribution of reactive sites on solid surfaces. In typical ALD processes, the precursor pulses are much shorter in duration and have lower partial pressure compared to SIS, as they only need to provide sufficient exposure to saturate the surface chemical groups on the substrate surface.[1] In SIS, the precursor pulse partial pressures and exposure times are typically larger compared to ALD to allow for sufficient precursor to infiltrate a 3D volume through dissolution and diffusion.[1] Unlike the simple precursor saturation on solid substrates in ALD, SIS utilizes the diffusive nature of precursor transport within polymers, which makes the distribution of precursors within the material sensitive to time, pressure, temperature, and polymer chemistry and microstructure.[1]

History

The diffusion of precursors below the surfaces of polymers during ALD was observed in 2005 by the Steven M. George group when they observed that polymers could uptake trimethyl aluminum (TMA) via absorption within their free volume.[12] In this study, the interactions between the ALD precursors and the polymer functional groups were not recognized, and the diffusion of precursors into polymer films was considered a problem in some initial studies. Hence, the diffusion and reactions of ALD precursors into polymer films were considered as challenges to address. However, the benefit of these phenomena was demonstrated by Knez and coworkers in their seminal work describing the remarkable increased toughness of spider silk following vapor-phase infiltration.[9]

Sequential infiltration synthesis was developed by Argonne National Laboratory scientists Jeffrey Elam and Seth Darling in 2010 as a means to synthesize nanoscopic materials starting from block copolymer templates.[13] A patent application was filed in 2011, and the first patent issued in 2016.[14] SIS involves vapor diffusing into an existing polymer and chemically or physically binding to it. This results in the growth and formation of inorganic structures by selective nucleation throughout the bulk polymer. With SIS, the shapes of various inorganic materials can be tailored by applying them to patterned or nanostructured organic polymers, such as block copolymers.[15]

Since the diffusion of ALD precursors through polymers was observed, SIS was developed to intentionally enable the infusion of inorganic materials such as metal oxides and metals within polymers to yield hybrid materials with enhanced properties. Hybrid materials created via SIS can further be subjected to thermal annealing steps to remove the polymer constituents entirely to derive purely inorganic materials that maintain the structure of the original polymer morphology including mesoporosity.[16]

Although the early research in SIS focused on a small number of inorganic materials such as Al2O3, TiO2, and ZnO, the technology has matured over the last decade and now includes a wide variety of inorganic materials, polymers, and applications for the resulting hybrid materials as detailed in recent reviews.[1][2][3][4][5][6][7]

Principles and process

SIS is based on the consecutive introduction of different precursors into a polymer, taking advantage of the material's porosity on the molecular scale. This allows the precursors to diffuse into the material and react with specific functional groups located along the polymer backbone or pendant group. Through the selection and combination of the precursors, a rich variety of materials can be synthesized, each of which can endow unique properties to the material.

The process of SIS involves various key steps, first of which is the materials selection. A suitable substrate material, such as a polymer film, and precursors, typically molecules that can react with the substrate’s functional groups, are selected for the infiltration synthesis. The pairing of polymer chemistry and precursor species is vital for acquiring the desired functionalization and modification.

The substrate is placed in a reactor with an inert atmosphere (typically an inert gas or vacuum). The first precursor vapor (e.g., trimethyl aluminum, TMA) is introduced at a sufficiently high vapor pressure and duration such that the precursor molecules diffuse into the substrate. This enables the precursor to "infiltrate" the material and react with the interior functional groups. After a suitable diffusion/reaction time, the reactor is purged with inert gas or evacuated to remove reaction byproducts and unreacted precursor. A second vapor-phase species, often a co-reactant such as H2O, is introduced. Again, the precursor partial pressure and exposure time are selected to allow sufficient time for diffusion into the polymer and reaction with the functional groups left by the first precursor exposure.  The second precursor is then purged or evacuated to complete the SIS cycle. The second precursor also creates new functional groups for reaction with the first precursor for possible subsequent SIS cycles. The infiltration steps can be repeated using the same or different precursor species until the desired modifications are achieved. When the desired infiltrations are achieved, the modified material can undergo further post-treatment steps to enhance the properties and/or stability of the modified layers, such as heating, chemical treatment, or oxidation to remove the organic polymer.

SIS is an especially powerful technique when paired with block copolymers (BCPs).  Block copolymers such as polystyrene-block-poly(methyl methacrylate), PS-b-PMMA, can spontaneously microphase separate to form a rich variety of periodic mesoscale patterns. If the SIS precursors are selected to react with just one of the BCP components but not with the second component, then the inorganic material will only nucleate and grow in that component. For instance, TMA will react with the PMMA component of PS-b-PMMA but not with the PS component.  Consequently, SIS using TMA and H2O will form Al2O3 only in the PMMA. Subsequent removal of the PS-b-PMMA using an oxygen plasma or by annealing in air will convert the organic mesoscale pattern into an inorganic, Al2O3 pattern that is more chemically and thermally robust compared to the original polymer.

The principles and process described is an overview of SIS; it is important to note that there are variations and optimizations to the synthesis process depending on the desired materials and their applications.


Applications

SIS has gained much attention in various fields due to its ability to precisely modify and functionalize polymeric materials.

Lithography

One of the main applications of SIS is in the enhancement of etch resistance in lithographic photoresist, such as those used in photolithography, microfabrication, and nanolithography. This method involves the sequential deposition of inorganic materials within a patterned resist's micro/nanostructures. By carefully controlling the infiltration of these materials, SIS can precisely engineer the chemical composition and density of the resist, thus enhancing its resistance to common etching processes. This enables technologists to achieve finer feature patterns and greater durability in microfabrication, ultimately advancing the capabilities of semiconductor manufacturing and nanotechnology applications. Another recent application for SIS in lithography is to enhance the optical absorption of the photoresist in the extreme ultraviolet range[17] to improve EUV lithography.

Surface coatings

Another major application of SIS is in the field of surface coatings, as it enables the development of advanced functional coatings with tailored properties. With the sequential infiltration of different precursors into the material, SIS allows for the creation of coatings with enhanced properties and performance such as durability, corrosion resistance, oleophilicity[18][19]/lipophilicity, anti-reflection,[20] and/or improved adhesion to substrates. Such an application of SIS can be used for protective coatings for metals, anti-fouling coatings for biomedical applications, and coatings for optical and electronic devices.[21][22][23] In this application, the diffusion and reaction of the SIS precursors below the polymer surface facilitate a bulk-like transformation such that the effective thickness of the surface coating (e.g., several microns) is much larger than the film thickness that would result using the same number of atomic layer deposition (ALD) cycles on a conventional, dense substrate (e.g., a few nanometers).

Sensors and actuators

SIS with its precise control over material properties is a powerful method to develop sensors and actuators.[24][25] The functional layers that are created through the selective infiltration of specific precursors can enhance sensitivity, selectivity, and response of sensors, which have applications in gas sensing, chemical sensing, biosensing, and environmental monitoring. SIS is also promising when used to engineer actuators with tunable properties. This enables the fabrication of devices on micro and nano scales for an assortment of applications.

Energy devices

SIS has also shown promise in the field of energy devices, especially in improving the performance and stability of energy storage and conversion systems. Employing SIS and the correct precursors, the technique can modify the surfaces and interfaces of materials used in batteries, supercapacitors, and fuel cells, enhancing charge transport, electrochemical stability, and energy density. SIS is also being explored for its applications in photovoltaics,[26] in which it can be used to engineer interfaces and increase light absorption.


SIS is a suitable tool for surface modifications to improve biocompatibility, bioactivity, and controlled drug release, making it suitable for some biomedical applications. Polymers and bioactive macromolecules that are treated with SIS can obtain coatings with developed cell adhesion and reduced bacterial adhesion as well as providing a medium for the controlled release of therapeutics. Such properties have applicability in biomedicine such as implantable medical devices, tissue engineering, and drug delivery systems.

Mechanical Properties

An early application of SIS was to improve the mechanical properties of protein structures in biomaterials. For instance, when spider dragline silk is infiltrated with Al2O3 using trimethyl aluminum (TMA) and H2O, the toughness is improved by nearly an order of magnitude.[9]

Advantages and limitations

The advantages and disadvantages of SIS are outlined. It is important to note that the advantages and limitations of SIS are continually being explored, addressed, and improved upon via ongoing research and development efforts in the field.

Advantages

SIS allows for precise control over the composition, structure, and properties of materials. The sequential nature of the synthesis process enables the integration of multiple materials and the creation of complex and multifunctional nanostructures. SIS enables atomic-level precision in controlling the deposition of precursor materials. This high level of precision allows for the creation of nanostructures with uniform dimensions, well-defined interfaces, and tailored properties.

SIS is a versatile fabrication technique amenable to a diverse range of combinations of polymer chemistries and precursor species. By selecting specific precursor materials, researchers can tune the properties of the fabricated materials, which include but are not limited to electrical conductivity, optical properties, and catalytic activity. This empowers various applications in electronics, photonics, energy devices, separations, and more.

Limitations

One of the main challenges of SIS is the need to perform the process in an inert environment. Creation of a vacuum and/or introduction of inert gas carries a cost that may be prohibitive for certain applications. A second challenge relates to the complexity of the diffusion-reaction process, where the specifics of the reactor configuration and process parameters can have substantial impact on the final material. This can pose limitations in terms of process optimization, reproduction, and scalability. Even though SIS can be applied to a wide range of materials, there are also materials that may not be suitable for the SIS process. The relatively slow diffusion of SIS precursor vapors through polymers can make SIS over macroscopic distances time-consuming. For instance, the infiltration of millimeter distances into a polymer may require precursor exposure times of several hours. For comparison, ALD of thin films on dense surfaces that do not involve diffusion into the substrate would require exposure times of <1 s using the same precursors.

References

  1. 1.0 1.1 1.2 1.3 1.4 Waldman, Ruben Z.; Mandia, David J.; Yanguas-Gil, Angel; Martinson, Alex B. F.; Elam, Jeffrey W.; Darling, Seth B. (2019-11-21). "The chemical physics of sequential infiltration synthesis—A thermodynamic and kinetic perspective" (in en). The Journal of Chemical Physics 151 (19). doi:10.1063/1.5128108. ISSN 0021-9606. PMID 31757164. https://pubs.aip.org/jcp/article/151/19/190901/198189/The-chemical-physics-of-sequential-infiltration. 
  2. 2.0 2.1 Elam, Jeffrey W; Biswas, Mahua; Darling, Seth; Yanguas-Gil, Angel; Emery, Jonathan D.; Martinson, Alex B. F.; Nealey, Paul F.; Segal-Peretz, Tamar et al. (2015-09-10). "New Insights into Sequential Infiltration Synthesis". ECS Transactions 69 (7): 147–157. doi:10.1149/06907.0147ecst. ISSN 1938-5862. PMID 28503252. 
  3. 3.0 3.1 Leng, Collen Z.; Losego, Mark D. (2017). "Vapor phase infiltration (VPI) for transforming polymers into organic–inorganic hybrid materials: a critical review of current progress and future challenges" (in en). Materials Horizons 4 (5): 747–771. doi:10.1039/C7MH00196G. ISSN 2051-6347. http://xlink.rsc.org/?DOI=C7MH00196G. 
  4. 4.0 4.1 Weisbord, Inbal; Shomrat, Neta; Azoulay, Rotem; Kaushansky, Alexander; Segal-Peretz, Tamar (2020-06-09). "Understanding and Controlling Polymer–Organometallic Precursor Interactions in Sequential Infiltration Synthesis" (in en). Chemistry of Materials 32 (11): 4499–4508. doi:10.1021/acs.chemmater.0c00026. ISSN 0897-4756. 
  5. 5.0 5.1 Parsons, Gregory N.; Atanasov, Sarah E.; Dandley, Erinn C.; Devine, Christina K.; Gong, Bo; Jur, Jesse S.; Lee, Kyoungmi; Oldham, Christopher J. et al. (December 2013). "Mechanisms and reactions during atomic layer deposition on polymers" (in en). Coordination Chemistry Reviews 257 (23-24): 3323–3331. doi:10.1016/j.ccr.2013.07.001. https://linkinghub.elsevier.com/retrieve/pii/S0010854513001410. 
  6. 6.0 6.1 Subramanian, Ashwanth; Tiwale, Nikhil; Nam, Chang-Yong (January 2019). "Review of Recent Advances in Applications of Vapor-Phase Material Infiltration Based on Atomic Layer Deposition" (in en). JOM 71 (1): 185–196. doi:10.1007/s11837-018-3141-4. ISSN 1047-4838. http://link.springer.com/10.1007/s11837-018-3141-4. 
  7. 7.0 7.1 Azpitarte, Itxasne; Knez, Mato (September 2018). "Vapor phase infiltration: from a bioinspired process to technologic application, a prospective review" (in en). MRS Communications 8 (3): 727–741. doi:10.1557/mrc.2018.126. ISSN 2159-6859. http://link.springer.com/10.1557/mrc.2018.126. 
  8. Tseng, Yu-Chih; Mane, Anil U.; Elam, Jeffrey W.; Darling, Seth B. (2012-05-15). "Enhanced Lithographic Imaging Layer Meets Semiconductor Manufacturing Specification a Decade Early" (in en). Advanced Materials 24 (19): 2608–2613. doi:10.1002/adma.201104871. PMID 22488639. https://onlinelibrary.wiley.com/doi/10.1002/adma.201104871. 
  9. 9.0 9.1 9.2 Lee, Seung-Mo; Pippel, Eckhard; Gösele, Ulrich; Dresbach, Christian; Qin, Yong; Chandran, C. Vinod; Bräuniger, Thomas; Hause, Gerd et al. (2009-04-24). "Greatly Increased Toughness of Infiltrated Spider Silk" (in en). Science 324 (5926): 488–492. doi:10.1126/science.1168162. ISSN 0036-8075. https://www.science.org/doi/10.1126/science.1168162. 
  10. McGuinness, Emily K.; Zhang, Fengyi; Ma, Yao; Lively, Ryan P.; Losego, Mark D. (2019-07-18). "Vapor Phase Infiltration of Metal Oxides into Nanoporous Polymers for Organic Solvent Separation Membranes". Chemistry of Materials 31 (15): 5509–5518. doi:10.1021/acs.chemmater.9b01141. ISSN 0897-4756. http://dx.doi.org/10.1021/acs.chemmater.9b01141. 
  11. Dandley, Erinn C.; Needham, Craig D.; Williams, Philip S.; Brozena, Alexandra H.; Oldham, Christopher J.; Parsons, Gregory N. (2014-10-23). "Temperature-dependent reaction between trimethylaluminum and poly(methyl methacrylate) during sequential vapor infiltration: experimental and ab initio analysis" (in en). Journal of Materials Chemistry C 2 (44): 9416–9424. doi:10.1039/C4TC01293C. ISSN 2050-7534. https://pubs.rsc.org/en/content/articlelanding/2014/tc/c4tc01293c. 
  12. Wilson, C. A.; Grubbs, R. K.; George, S. M. (2005-11-01). "Nucleation and Growth during Al 2 O 3 Atomic Layer Deposition on Polymers" (in en). Chemistry of Materials 17 (23): 5625–5634. doi:10.1021/cm050704d. ISSN 0897-4756. https://pubs.acs.org/doi/10.1021/cm050704d. 
  13. Peng, Qing; Tseng, Yu-Chih; Darling, Seth B.; Elam, Jeffrey W. (2011-06-28). "A Route to Nanoscopic Materials via Sequential Infiltration Synthesis on Block Copolymer Templates" (in en). ACS Nano 5 (6): 4600–4606. doi:10.1021/nn2003234. ISSN 1936-0851. https://pubs.acs.org/doi/10.1021/nn2003234. 
  14. US patent 9487600, issued 2016-11-8
  15. "Tracking the Early Stages of Sequential Infiltration Synthesis | Advanced Photon Source" (in en). 2021-03-16. https://www.aps.anl.gov/APS-Science-Highlight/2021-03-16/tracking-the-early-stages-of-sequential-infiltration-synthesis. 
  16. Gong, Bo; Peng, Qing; Jur, Jesse S.; Devine, Christina K.; Lee, Kyoungmi; Parsons, Gregory N. (2011-08-09). "Sequential Vapor Infiltration of Metal Oxides into Sacrificial Polyester Fibers: Shape Replication and Controlled Porosity of Microporous/Mesoporous Oxide Monoliths" (in en). Chemistry of Materials 23 (15): 3476–3485. doi:10.1021/cm200694w. ISSN 0897-4756. https://pubs.acs.org/doi/10.1021/cm200694w. 
  17. Subramanian, Ashwanth; Tiwale, Nikhil; Lee, Won‐Il; Kisslinger, Kim; Lu, Ming; Stein, Aaron; Kim, Jiyoung; Nam, Chang‐Yong (October 2023). "Vapor‐Phase Infiltrated Organic–Inorganic Positive‐Tone Hybrid Photoresist for Extreme UV Lithography" (in en). Advanced Materials Interfaces 10 (28). doi:10.1002/admi.202300420. ISSN 2196-7350. https://onlinelibrary.wiley.com/doi/10.1002/admi.202300420. 
  18. Barry, Edward; Libera, Joseph A.; Mane, Anil U.; Avila, Jason R.; DeVitis, David; Dyke, Keith Van; Elam, Jeffrey W.; Darling, Seth B. (2017-12-21). "Mitigating oil spills in the water column" (in en). Environmental Science: Water Research & Technology 4 (1): 40–47. doi:10.1039/C7EW00265C. ISSN 2053-1419. https://pubs.rsc.org/en/content/articlelanding/2018/ew/c7ew00265c. 
  19. Barry, Edward; Mane, Anil U.; Libera, Joseph A.; Elam, Jeffrey W.; Darling, Seth B. (2017-02-07). "Advanced oil sorbents using sequential infiltration synthesis" (in en). Journal of Materials Chemistry A 5 (6): 2929–2935. doi:10.1039/C6TA09014A. ISSN 2050-7496. https://pubs.rsc.org/en/content/articlelanding/2017/ta/c6ta09014a. 
  20. Berman, Diana; Guha, Supratik; Lee, Byeongdu; Elam, Jeffrey W.; Darling, Seth B.; Shevchenko, Elena V. (2017-02-07). "Sequential Infiltration Synthesis for the Design of Low Refractive Index Surface Coatings with Controllable Thickness". ACS Nano 11 (3): 2521–2530. doi:10.1021/acsnano.6b08361. ISSN 1936-0851. PMID 28139905. http://dx.doi.org/10.1021/acsnano.6b08361. 
  21. Chakrabarti, Bhaswar; Chan, Henry; Alam, Khan; Koneru, Aditya; Gage, Thomas E.; Ocola, Leonidas E.; Divan, Ralu; Rosenmann, Daniel et al. (2021-03-01). "Nanoporous Dielectric Resistive Memories Using Sequential Infiltration Synthesis". ACS Nano 15 (3): 4155–4164. doi:10.1021/acsnano.0c03201. ISSN 1936-0851. PMID 33646747. http://dx.doi.org/10.1021/acsnano.0c03201. 
  22. Liapis, Andreas C.; Subramanian, Ashwanth; Cho, Sangyeon; Kisslinger, Kim; Nam, Chang‐Yong; Yun, Seok‐Hyun (2020-11-09). "Conformal Coating of Freestanding Particles by Vapor‐Phase Infiltration". Advanced Materials Interfaces 7 (24). doi:10.1002/admi.202001323. ISSN 2196-7350. PMID 33708471. PMC 7942784. http://dx.doi.org/10.1002/admi.202001323. 
  23. Ocola, Leonidas E.; Connolly, Aine; Gosztola, David J.; Schaller, Richard D.; Yanguas-Gil, Angel (2016-12-16). "Infiltrated Zinc Oxide in Poly(methyl methacrylate): An Atomic Cycle Growth Study". The Journal of Physical Chemistry C 121 (3): 1893–1903. doi:10.1021/acs.jpcc.6b08007. ISSN 1932-7447. http://dx.doi.org/10.1021/acs.jpcc.6b08007. 
  24. Sweet, William J.; Oldham, Christopher J.; Parsons, Gregory N. (2014-11-06). "Conductivity and touch-sensor application for atomic layer deposition ZnO and Al:ZnO on nylon nonwoven fiber mats". Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 33 (1). doi:10.1116/1.4900718. ISSN 0734-2101. https://doi.org/10.1116/1.4900718. 
  25. Ocola, Leonidas E.; Wang, Yale; Divan, Ralu; Chen, Junhong (January 2019). "Multifunctional UV and Gas Sensors Based on Vertically Nanostructured Zinc Oxide: Volume Versus Surface Effect" (in en). Sensors 19 (9): 2061. doi:10.3390/s19092061. ISSN 1424-8220. PMID 31052609. 
  26. Allen, Jonathan E.; Ray, Biswajit; Khan, M. Ryyan; Yager, Kevin G.; Alam, Muhammad A.; Black, Charles T. (2012-08-06). "Self-assembly of single dielectric nanoparticle layers and integration in polymer-based solar cells". Applied Physics Letters 101 (6): 063105. doi:10.1063/1.4744928. ISSN 0003-6951. https://doi.org/10.1063/1.4744928.