Software:Icarus Verilog

From HandWiki
Icarus Verilog
Icarus Verilog logo2.png
Developer(s)Stephen Williams
Stable release
12.0 / 10 June 2023; 8 months ago (2023-06-10)
Written inC++
Operating systemLinux, FreeBSD, OpenSolaris, AIX, Microsoft Windows, and Mac OS X
PlatformCross-platform
Available inEnglish
TypeVerilog Simulator
LicenseGPL-2.0-or-later
Websitehttps://steveicarus.github.io/iverilog/

Icarus Verilog is an implementation of the Verilog hardware description language compiler that generates netlists in the desired format (EDIF) and a simulator. It supports the 1995, 2001 and 2005 versions of the standard, portions of SystemVerilog, and some extensions.

Icarus Verilog is available for Linux, FreeBSD, OpenSolaris, AIX, Microsoft Windows, and Mac OS X. Released under the GNU General Public License, Icarus Verilog is free software, an alternative to proprietary software like Cadence's Verilog-XL.

As of release 0.9, Icarus is composed of a Verilog compiler (including a Verilog preprocessor) with support for plug-in backends, and a virtual machine that simulates the design. To view waveforms, a program like GTKWave can be used. Release v10.0, besides general improvements and bug fixes, added preliminary support for VHDL, but the VHDL support has been abandoned as of 2018.

History

Not even the author quite remembers when the project was first started, but CVS records go back to 1998. There have been releases 0.2 through the current stable release 10.0.

Icarus Verilog development is done largely by the sole regular author, Stephen Williams. Some non-trivial portions have been contributed as accepted patches.

See also

External links