Software:Verilator

From HandWiki
Verilator[1]
Verilator logo.png
Developer(s)Wilson Snyder
Written inC++
Operating systemLinux, FreeBSD, Microsoft Windows (via Cygwin[2])
TypeSimulator
LicenseLGPL-3.0-only or Artistic-2.0
Websiteverilator.org

Verilator is a free and open-source software tool which converts Verilog (a hardware description language) to a cycle-accurate behavioral model in C++ or SystemC. The generated models are cycle-accurate and 2-state; as a consequence, the models typically offer higher performance than the more widely used event-driven simulators, which can model behavior within the clock cycle. Verilator is now used within academic research, open source projects and for commercial semiconductor development. It is part of the growing body of free EDA software.

Usage

Verilator has seen its widest adoption in the academic and open source communities. The semiconductor industry has been more cautious in its adoption of an open source tool, and has the financial means to use commercial alternatives.[3]

Academic

Researchers have used Verilator to develop new co-simulation environments,[4] as part of general ASIC and FPGA design flows[5][6] and in performance and power analysis.[7] Verilator is also a popular tool for student dissertations, for example.[8]

Open Source

Verilator is an open source tool, and has in turn been adopted by a number of other projects. The Fedora Electronic Lab [4] has adopted Verilator as part of its open source design flow for Fedora 11. The OpenRISC architecture from OpenCores includes a cycle accurate reference model, generated from Verilog using Verilator. A recent paper[9] described how the regression test suite for GCC could be run against a Verilator model of the OpenRISC 1200 as a way of detecting errors in the Verilog RTL implementation. TestDrive Profiling Master [5] tool provides the virtual FPGA environment using Verilator.

Commercial

Commercially, Philips Semiconductors (now NXP) have led the way.[10] Their use of Verilator is becoming more widespread, for example within application notes.[11] More recently Art of Silicon have described their use of Verilator on a farm of Linux processors as a route to faster regression testing of their commercial designs.[12][13] The current maintainer of Verilator identified[14] 27 companies and universities who had reported use of Verilator or contributed to its development, including Intel, Arm, CSR, Broadcom, Raytheon, Infineon, Stanford University, Imperial College London and Embecosm.

History

Verilator's user manual provides a short history.[15] The tool originated in 1994 with a team led by Paul Wasson at the Core Logic Group at Digital Equipment Corporation (DEC). It was used to convert Verilog code to C for co-simulation with a C based CPU model of the Alpha processor. During the mid-1990s Duane Galbi took over responsibility for development and the technology was adopted by other groups in DEC. In 1998 DEC released the source code.

Since 2001 the technology has been developed by Wilson Snyder and others as part of the Veripool open source project. A SystemC mode was added and the tool rewritten from scratch in C++, leading to an increase in performance. In 2022 Verilator Version 5 added an IEEE-compliant scheduler and delay semantics, relaxing previous restrictions that ignored all delays.

Technology and key features

Verilator converts Verilog to C++ or SystemC. It can handle all versions of Verilog and also some SystemVerilog assertions. The approach is closer to synthesis than event-driven simulation. The entire design is flattened (that is, all modules are expanded to create one module). Static analysis is used to eliminate wires and schedule all processes at model generation time. A C++ class is generated with a function which takes 2-state values on input ports and advance them to values on output ports at the next clock edge.

SystemC is supported by providing a wrapper class using SystemC ports and with sensitivity to the clock(s), which will drive the ports of the underlying C++ model.

Verilator supports automatically partitioning designs into multiple threads, also potentially improving performance.


Using with MATLAB

Verilator converts synthesizable Verilog to C++, while C++ library could be compiled into a MEX file using MATLAB interface to C++. This is how Verilog designs can be directly simulated from MATLAB. Using compiled C++ models with MATLAB is faster than using co-simulation interfaces with a separate HDL simulator. There is an open-source project called vmodel that compiles Verilog into a MEX file using Verilator and provides a set of functions for model simulation from MATLAB.

See also

References

  1. W Snyder, "Verilator and SystemPerl". North American SystemC Users' Group, Design Automation Conference, June 2004.
  2. "Veripool". http://www.veripool.org/projects/verilator/wiki/Faq#Does-it-run-under-Windows. 
  3. "Arch Linux - verilator 4.224-1 (x86_64)". https://archlinux.org/packages/community/x86_64/verilator/. 
  4. Oyama Masashiro, Tanaka Daisuke and Shimizu Naohiki. "C-language based Hardware and Software Co-Simulation Environment Featuring sfl2vl and Verilator", Joho Shori Gakkai Shinpojiumu Ronbunshu (Information Processing Society of Japan Symposium Thesis Collection), 2004 (10), 34–40.
  5. P Garcia and K Compton. "A Reconfigurable Hardware Interface for a Modern Computing System". FCCM 2007 (15th Annual IEEE Symposium on Field-Programmable Custom Computing Machines), 23–25 April 2007, 73–84, (the DOI listed for this paper, doi:10.1109/FCCM.2007.49 is incorrect)
  6. Eric S. Chung, James C. Hoe, Babak Falsafi. "PROTO FLEX: Co-Simulation for Component-wise FPGA Emulator Development", WARFP 2006 (2nd Workshop on Architecture Research using FPGA Platforms), Austin Texas, 12 February 2006.
  7. Chin-Chie Huang, Jaw-Wei Chi, Tzu-Ching Lin, Lin-Wei Tsao, Yi-Jung Chen and Chia-Lin Yang. "System-Level Performance/Power Evaluation Framework for Platform-based SOC", Department of Computer Science and Information Engineering, National Taiwan University, 2008.
  8. Siddhartha Devalapalli. "Development of SystemC Modules from HDL for System-on-Chip Applications", MSc Dissertation, University of Tennessee, Knoxville, August 2004.
  9. Jeremy Bennett, Embecosm, "Processor verification using open source tools and the GCC regression test suite: A case study", Design Verification Club meeting, Bristol, Cambridge & Eindhoven, 20 September 2010, [1]
  10. Jean-Paul van Itegem, Philips Semiconductors (now NXP), quoted in Deep Chip 25 October 2005 [2]
  11. Chuck Peplinski, "Hardware Prefetch and Data Cache Optimization Tools for Nexperia Media Processors", NXP Semiconductors, 11 January 2007 "Archived copy". Archived from the original on 20 August 2008. https://web.archive.org/web/20080820074253/http://www.tcshelp.com/pnx1517_app/Apps_DataCache.pdf. Retrieved 13 March 2009. 
  12. Rich Porter, "Designer Productivity - An Alternate Approach", [National Microelectronics Institute], System-Level Design Network meeting, Engineers House, Bristol, UK 24 September 2009, available at www.slideshare.net/richporter/20090924aosnmiesl
  13. Rich Porter, "Architecture for Massively Parallel HDL Simulations", Design Verification Club meeting, Bristol, Cambridge & Eindhoven, 20 September 2010, "Archived copy". Archived from the original on 13 March 2012. https://web.archive.org/web/20120313025312/http://www.tandvsolns.co.uk/DVClub/20_Sept_2010/AoS_verilator.pdf. Retrieved 24 September 2010. 
  14. Wilson Snyder, Cavium Networks, "Verilator: Fast, Free, But for Me?", Design Verification Club meeting, Bristol, Cambridge & Eindhoven, 20 September 2010, [3]
  15. Wilson Snyder, "Verilator-3.700", 7 January 2009

External links