Engineering:List of semiconductor fabrication plants

From HandWiki
Short description: None

This is a list of semiconductor fabrication plants. A semiconductor fabrication plant is where integrated circuits (ICs), also known as microchips, are manufactured. They are either operated by Integrated Device Manufacturers (IDMs) who design and manufacture ICs in-house and may also manufacture designs from design-only (fabless firms), or by pure play foundries who manufacture designs from fabless companies and do not design their own ICs. Some pure play foundries like TSMC offer IC design services, and others, like Samsung, design and manufacture ICs for customers, while also designing, manufacturing and selling their own ICs.

Glossary of terms

  • Wafer size – largest wafer diameter that a facility is capable of processing. (Semiconductor wafers are circular.)
  • Process technology node – size of the smallest features that the facility is capable of etching onto the wafers.
  • Production capacity – a manufacturing facility's nameplate capacity. Generally max wafers produced per month.
  • Utilization – the number of wafers that a manufacturing plant processes in relation to its production capacity.
  • Technology/products – Type of product that the facility is capable of producing, as not all plants can produce all products on the market.

Open plants

Operating fabs include:

Company Plant name Plant location Plant cost (in US$ billions) Started production Wafer size (mm) Process technology node (nm) Production capacity (Wafers/Month) Technology / products
UMCHe Jian Fab 8N China ,
Suzhou
0.750,[1] 1.2, +0.5 2003, May[1] 200 4000–1000, 500, 350, 250, 180, 110 77,000 Foundry
UMC Fab 6A Taiwan,
Hsinchu
0.35[1] 1989[1] 150 450 31,000 Foundry
UMC Fab 8AB Taiwan,
Hsinchu
1[1] 1995[1] 200 250 67,000[2] Foundry
UMC Fab 8C Taiwan,
Hsinchu
1[1] 1998[1] 200 350–110 37,000 Foundry
UMC Fab 8D Taiwan,
Hsinchu
1.5[1] 2000[1] 200 90 31,000 Foundry
UMC Fab 8E Taiwan,
Hsinchu
0.96[1] 1998[1] 200 180 37,000 Foundry
UMC Fab 8F Taiwan,
Hsinchu
1.5[1] 2000[1] 200 150 40,000 Foundry
UMC Fab 8S Taiwan,
Hsinchu
0.8[1] 2004[1] 200 350–250 31,000 Foundry
UMC Fab 12A Taiwan,
Tainan
4.65, 4.1, 6.6, 7.3[1] 2001, 2010, 2014, 2017[1] 300 28, 14 87,000[2] Foundry
UMC Fab 12i Singapore 3.7[1] 2004[1] 300 130–40 53,000 Foundry
UMC – United Semiconductor Fab 12X China ,
Xiamen
6.2 2016 300 55–28 19,000-25,000 (2021) Foundry
UMC – USJC (formerly MIFS) (formerly Fujitsu) Fab 12M (original Fujitsu installations)[3] Japan ,
Mie Prefecture
1974 150, 200, 300[4] 90–40 33,000 Foundry
Texas Instruments Miho Japan,
Ibaraki,
Miho
200 350, 250[5] 40,000[6] Analog, DLP[7]
Texas Instruments (formerly Spansion) Aizu[8] Japan,
Fukushima,
Aizuwakamatsu
200 Analog
Texas Instruments (formerly National Semiconductor) MFAB[9] United States,
Maine,
South Portland
0.932 1997 200 350, 250, 180 Analog
Texas Instruments (formerly Micron) (formerly IM Flash) LFAB United States,
Utah,
Lehi
1.3[10] (+ 3–4, future)[11] 300 65–45 70,000 Analog, mixed signal, logic

NAND FLASH (former), 3D XPoint (former)

Texas Instruments RFAB1[12] United States,
Texas ,
Richardson
2009 300 250, 180 20,000[13] Analog
Texas Instruments RFAB2 United States,
Texas ,
Richardson
2022 300 Analog
Texas Instruments DMOS5 United States,
Texas ,
Dallas
1984 200 250, 180 Analog, DLP
Texas Instruments DMOS6 United States,
Texas ,
Dallas
2000 300 130–45 22,000[14] Logic, Analog
Texas Instruments DFAB United States,
Texas ,
Dallas
1966 150, 200 Mixed Signal, Analog
Texas Instruments SFAB United States,
Texas ,
Sherman
1965 150 Analog
Texas Instruments FFAB Germany,
Bavaria,
Freising
200 1000, 180 37,500 (450,000 per year)[15] Analog
Texas Instruments (formerly SMIC – Cension) CFAB China,
Chengdu
200 30,000[16] Analog
Tsinghua Unigroup[17] China,
Nanjing
10 (first phase), 30 Planned 300 100,000 (first phase) 3D NAND
Tsinghua Unigroup – XMC (formerly Xinxin)[18] Fab 1 China,
Wuhan[1]
1.9 2008 300 90, 65, 60, 50, 45, 40, 32 30,000[19] Foundry, NOR
Tsinghua Unigroup – Yangtze Memory Technologies (YMTC) – XMC (formerly Xinxin)[18][19][17] Fab 2 China,
Wuhan
24 2018[1] 300 20 200,000 3D NAND
ChangXin Memory – (formerly Innotron Memory) Fab 1[20] China,
Hefei
8[21] 2019[22] 300 19 20,000–40,000 DRAM
SMIC S1 Mega Fab (S1A/S1B/S1C)[23] China,
Shanghai
200 350–90 115,000[24] Foundry
SMIC S2 (Fab 8)[23] China,
Shanghai
300 45/40–32/28 20,000 Foundry
SMIC – SMSC SN1[23] China,
Shanghai
10 2020[25] 300 14 70,000[25] Foundry
SMIC B1 Mega Fab (Fab 4, Fab 6)[23] China,
Beijing
2004 300 180–90/55 52,000 Foundry
SMIC B2A[23] China,
Beijing
3.59[26] 2014 300 45/40–32/28 41,000 Foundry
SMIC Fab 15[23] China,
Shenzhen
2014 200 350–90 55,000 Foundry
SMIC Fab 7[23] China,
Tianjin
2004 200 350–90 60,000[27] Foundry
SMIC Jingcheng China,
Beijing
7.7[28] Under construction 300 28 100,000 Foundry
SMIC Lingang China,
Shanghai
8.87[29] Under construction 300 28 100,000 Foundry
SMIC Shenzhen China,
Shenzhen
2.35[30] Under construction 300 28 40,000 Foundry
SMIC Xiqing China,
Tianjin
7.5[31] Under construction 300 28 100,000 Foundry
Wuxi Xichanweixin (formerly SMIC – LFoundry (de)) (formerly LFoundry (de)) (formerly Micron)[32] (formerly Texas Instruments) LF Italy,
Abruzzo,
Avezzano
1995 200 180–90 40,000
Nanya Fab 2 Taiwan,
Linkou
0.8 2000 200[33] 175 30,000 DRAM
Nanya Fab 3A[34] Taiwan,
New Taipei City[35]
1.85[36] 2018 300 70-20 34,000[37] DRAM
Nanya Taiwan,
New Taipei City[38]
10.66 Under construction 300 10 15,000–45,000 DRAM
MESA+ Institute NANOLAB Netherlands,
Enschede
Academic research, R&D activities, pilot production for MEMS, Photonics, Microfluidics
Micron Fab 4[39] United States,
Idaho,
Boise
300 R&D
Micron (formerly Dominion Semiconductor) Fab 6 United States,
Virginia,
Manassas
1997 300 25 70,000 DRAM, NAND FLASH, NOR
Micron (formerly TECH Semiconductor) Fab 7 (formerly TECH Semiconductor, Singapore)[40] Singapore 300 60,000 NAND FLASH
Micron (formerly IM Flash)[41] Fab 10[42] Singapore 3 2011 300 25 140,000[43] NAND FLASH
Micron (formerly Inotera) Fab 11[44] Taiwan,
Taoyuan
300 20 and under 125,000[45] DRAM
Micron Fab 13[46] Singapore 200 NOR
Micron Singapore[47] 200 NOR Flash
Micron Micron Semiconductor Asia Singapore[47]
Micron China,
Xi'an[47]
Micron (formerly Elpida Memory) Fab 15 (formerly Elpida Memory, Hiroshima)[39][47] Japan,
Hiroshima
300 20 and under 100,000 DRAM
Micron (formerly Rexchip) Fab 16 (formerly Rexchip, Taichung)[39] Taiwan,
Taichung
300 30 and under 80,000 DRAM, FEOL
Micron (formerly Cando) Micron Memory Taiwan[47] Taiwan,
Taichung
?, 2018 300 DRAM, BEOL
Micron A3 Taiwan,
Taichung[48]
Under construction 300 DRAM
Intel D1B United States,
Oregon,
Hillsboro
1996 300 22, 14, 10 Microprocessors[49]
Intel D1C[50][49] United States,
Oregon,
Hillsboro
2001 300 22, 14, 10 Microprocessors[49]
Intel D1D[50][49] United States,
Oregon,
Hillsboro
2003 300 14, 10, 7 Microprocessors[49]
Intel D1X[51][49] United States,
Oregon,
Hillsboro
2013 300 14, 10, 7 Microprocessors[49]
Intel Fab 12[50][49] United States,
Arizona,
Chandler
1996 300 65, 22, 14 Microprocessors & chipsets[49]
Intel Fab 32[50][52] United States,
Arizona,
Chandler
3 2007 300 45
Intel Fab 32[50][49] United States,
Arizona,
Chandler
2007 300 32, 22 Microprocessors[49]
Intel Fab 42[53][54][49] United States,
Arizona,
Chandler
10[55] 2020[56] 300 10, 7 Microprocessors[49]
Intel Fab 52, 62[57][58] United States,
Arizona,
Chandler
20[57] 2024[57] Microprocessors[57]
Intel Fab 11x[50][49] United States,
New Mexico,
Rio Rancho
2002 300 45, 32 Microprocessors[49]
Intel (formerly Micron) (formerly Numonyx) (formerly Intel) Fab 18[59] Israel,
Southern District,
Kiryat Gat
1996 200, 300 180, 90, 65, 45 Microprocessors and chipsets,[60] NOR flash
Intel Fab 10[50] Ireland,
County Kildare,
Leixlip
1994 300
Intel Fab 14[50] Ireland,
County Kildare,
Leixlip
1998 300
Intel Fab 24[50][49] Ireland,
County Kildare,
Leixlip
2004 300 90, 65, 14[61] Microprocessors, Chipsets and Comms[49]
Intel Fab 28[50][49] Israel,
Southern District,
Kiryat Gat
2008 300 45, 22, 10 Microprocessors[49]
Intel Fab 38[62] Israel,
Southern District,
Kiryat Gat
Under construction 300 Microprocessors[49]
Intel Fab 68[50][63] China,
Dalian
2.5 2010 300 65[64] 30,000–52,000 Microprocessors (former), VNAND[49]
Intel Costa Rica,
Heredia,
Belén
1997 300 22, 14 Packaging
Tower Semiconductor (formerly Maxim) (formerly Philips) (formerly VLSI) Fab 9[65][66] United States,
Texas ,
San Antonio
2003 200 180 28,000 Foundry, Al BEOL, Power, RF Analog
Tower Semiconductor (formerly National Semiconductor) Fab 1[67] Israel,
Northern District,
Migdal HaEmek
0.235[1] 1989, 1986[1] 150 1000–350 14,000 Foundry, Planarized BEOL, W and Oxide CMP, CMOS, CIS, Power, Power Discrete
Tower Semiconductor Fab 2[67] Israel,
Northern District,
Migdal HaEmek
1.226[1] 2003 200 180–130 51,000[1] Foundry, Cu and Al BEOL, EPI, 193 nm Scanner, CMOS, CIS, Power, Power Discrete, MEMS, RFCMOS
Tower Semiconductor (formerly Jazz Technologies) (formerly Conexant) (formerly Rockwell) Fab 3,[67] Newport Beach[1] United States,
California ,
Newport Beach
0.165[1] 1967, 1995[1] 200 500-130 25,000[1] Foundry, Al BEOL, SiGe, EPI
Tower Semiconductor – TPSCo (formerly Panasonic) Fab 5,[67] Tonami[68] Japan,
Tonami
1994 200 500–130 Foundry, Analog/Mixed-Signal, Power, Discrete, NVM, CCD
Tower Semiconductor – TPSCo (formerly Panasonic) Fab 7,[67] Uozu[68] Japan,
Uozu
1984 300 65. 45 Foundry, CMOS, CIS, RF SOI, Analog/Mixed-Signal
Tower Semiconductor – TPSCo (formerly Panasonic) Fab 6,[67] Arai[68] Japan,
Arai
1976 200 130–110 Foundry, Analog/Mixed-Signal, CIS, NVM,Thick Cu RDL
Nuvoton[69] Fab2 Taiwan 150 1000-350 45,000[69] Generic Logic, Mixed Signal (Mixed Mode), High Voltage, Ultra High Voltage, Power Management, Mask ROM (Flat Cell), Embedded Logic, Non-Volatile Memory, IGBT, MOSFET, Biochip, TVS, Sensor
ISRO SCL[70] India,
Mohali
2006 200 180 MEMS, CMOS, CCD, N.S.
STAR-C[71][72] MEMS[72] India,
Bangalore
1996 150 1000–500 MEMS
STAR-C[71][72] CMOS[72] India,
Bangalore
1996 150 1000–500 CMOS
GAETEC[71][73] GaAs[73] India,
Hyderabad
1996 150 700–500 MESFET
BAE Systems (formerly Sanders) United States,
New Hampshire,
Nashua[1]
1985[1] 100, 150 140, 100, 70, 50 MMIC, GaAs, GaN-on-SiC, foundry
Qorvo (formerly RF Micro Devices) United States,
North Carolina,
Greensboro[74]
100,150 500 8,000 SAW filters, GaAs HBT, GaAs pHEMT, GaN
Qorvo (formerly TriQuint Semiconductor) (formerly Micron) (formerly Texas Instruments) (formerly TwinStar Semiconductor) United States,
Texas ,
Richardson[74]
0.5 1996 100, 150, 200 350, 250, 150, 90 8,000 DRAM (former), BAW filters, power amps, GaAs pHEMT, GaN-on-SiC
Qorvo (formerly TriQuint Semiconductor) United States,
Oregon,
Hillsboro[74]
100, 150 500 Power amps, GaAs
Apple (formerly Maxim) (formerly Samsung) X3[75] United States,
California ,
San Jose
?, 1997, 2015[76] 600–90
Analog Devices (formerly Maxim Integrated) MaxFabNorth[77] United States,
Oregon,
Beaverton
(+1, future)[78]
Rohm[79] (formerly Renesas) Shiga Factory Japan 200 150 IGBT, MOSFET, MEMS
Rohm (Lapis Semiconductor)(formerly Oki Semiconductor)(Oki Electric Industry)[79][80] Miyasaki Japan 150 MEMS
Rohm (Lapis Semiconductor)[79] Building No.1 Japan 1961[81] Transistors
Rohm (Lapis Semiconductor)[79] Building No.2 Japan 1962[81] Transistors
Rohm (Lapis Semiconductor)[79] Building No.3 Japan 1962[81] Transistors
Rohm (Lapis Semiconductor)[79] Building No.4 Japan 1969[81] Transistors
Rohm (Lapis Semiconductor)[79] Chichibu Plant Japan 1975[81] DRAM
Rohm (Lapis Semiconductor)[79] VLSI Laboratory No. 1 Japan 1977[81] VLSI
Rohm (Lapis Semiconductor)[79] VLSI Laboratory No. 2 Japan 1983[81]
Rohm (Lapis Semiconductor)[79] VLSI Laboratory No. 3 Japan 1983[81] DRAM
Rohm (Lapis Semiconductor)[79] Oregon Plant United States,
Oregon
1990[81]
Rohm (Lapis Semiconductor)[79] Thailand 1992[81]
Rohm (Lapis Semiconductor)[79] ULSI Laboratory No. 1 Japan 1992[81] 500 DRAM
Rohm (Kionix)[82] Ithaca United States,
New York,
Ithaca
150 MEMS
Rohm (Kionix)[82] (formerly Renesas Kyoto) Kyoto Japan,
Kyoto
200 MEMS
Oki Electric Industry[83] Japan,
Tokyo,
Minato-ku
1961 76, 100, 130, 150 7,200 Bipolar, Mask ROM
Oki Electric Industry[83] Miyazaki Oki Electric Co[84] Japan,
Miyazaki prefecture,
Miyazaki-gun
1981 76, 100, 130, 150 3000, 1500–500 7,200 Bipolar, Mask ROM, DRAM[81]
Oki Electric Industry[83] Miyagi Facility[84] Japan,
Miyagi prefecture,
Kurokawa-gun
1988[81] 76, 100, 130, 150, 200 800–130 7,200 Bipolar, Mask ROM, SoCs, Memory[85]
Oki Electric Industry[83] Hachioji Facility[84] Japan,
Tokyo,
Hachioji-shi
76, 100, 130, 150 7,200 Bipolar, Mask ROM
Fuji Electric[86] Omachi Japan,
Nagano Prefecture
Fuji Electric[87] Iyama Japan,
Nagano Prefecture
Fuji Electric[88] Hokuriku Japan,
Toyama prefecture
Fuji Electric[89] Matsumoto[84] Japan,
Nagano prefecture
100, 150 2000–1000 20,000 CMOS. BiCMOS, bipolar, ASICs, discrete
Fujitsu Kawasaki Japan,
Kawasaki
1966[90]
Fujitsu[91][92] Fab B1 (at Mie)[93] Japan, 1500 Tadocho Mizono, Kuwana, Mie[94] 2005 300 65, 90 15,000 Foundry, Ultra-low Power ICs, Embedded Memory, RF ICs
Fujitsu[91][92] Fab B2 (at Mie)[93] Japan, 1500 Tadocho Mizono, Kuwana, Mie[94] 1 (total)[95] 2007, July 300 65, 90 25,000 Foundry, Ultra-low Power ICs, Embedded Memory, RF ICs[96]
Fujitsu[91][92] Japan, 1500 Tadocho Mizono, Kuwana, Mie[94] 2015 300 40[97] 5,000 Foundry
Fujitsu Kumagaya Plant[93] Japan,
Saitama, 1224 Oaza-Nakanara, Kumagaya-shi, 360-0801
1974
Fujitsu[98] Suzaka Plant Japan,
Nagano, 460 Oaza-Koyama, Suzaka-shi, 382-8501
Denso (formerly Fujitsu) Iwate Plant[99][4][84] Japan,
Iwate, 4-2 Nishinemoriyama, Kanegasaki-cho, Isawa-gun, 029-4593
125, 150, 200 1500–350 100,000 CMOS, MOS, bipolar
Denso[100] Denso Iwate[101][102][103] Japan,
Iwate Prefecture, Kanegasaki-cho
0.088 Under construction, 2019, May (planned) Semiconductor wafers and sensors (since June 2017)
Canon Inc. Oita[104] Japan
Canon Inc. Kanagawa[105] Japan
Canon Inc. Ayase[104] Japan
Sharp Corporation Fukuyama[106][84] Japan 125, 150, 200 1000, 800, 600 85,000 CMOS
Japan Semiconductor

[107]

Iwate Japan
Japan Semiconductor[107] Oita Japan
Kioxia Yokkaichi Operations[108][109] Japan,
Yokkaichi
1992 173,334[110][111][112][113] Flash Memory
Kioxia/SanDisk Y5 Phase 1 (at Yokkaichi Operations) Japan, 800 Yamanoisshikicho, Yokkaichi, Mie[114] 2011 Flash
Kioxia/SanDisk Y5 Phase 2[114] (at Yokkaichi Operations) Japan,
Mie
2011 300 15[115] Flash
Kioxia[116] Y3 (at Yokkaichi Operations) Japan,
Yokkaichi
300 NAND Memory
Kioxia[117] Y4 (at Yokkaichi Operations) Japan,
Yokkaichi
2007 300 NAND Memory
Kioxia[118] Kaga Toshiba Japan,
Ishikawa
Power semiconductor devices
Kioxia[119] Oita Operations Japan,
Kyushu
Kioxia[120][121] Y6 (phase 1) (at Yokkaichi Operations)[122] Japan,
Yokkaichi
1.6, 1.7, 1.8 (estimates) (combined costs of installation of equipment at Phase 1 and construction of Phase 2)[123][109] 2018 300 BiCS FLASH™
Kioxia[120][121] Y6 (phase 2) (at Yokkaichi Operations) Japan,
Yokkaichi
1.6, 1.7, 1.8 (estimates) (combined costs of installation of equipment at Phase 1 and construction of Phase 2)[123][109] Planned 300 BiCS FLASH™
Kioxia[120][121] Y7 Japan,
Yokkaichi
4.6[124][125] Planned 300 BiCS FLASH™
Kioxia[120] Y2 (at Yokkaichi Operations) Japan,
Yokkaichi
1995 3D NAND
Kioxia[126][127] New Y2 (at Yokkaichi Operations) Japan,
Yokkaichi
2016, July 15 300 3D NAND
Kioxia[128][129][130][131] K1 Japan,
Iwate Prefecture
Under construction 300 3D NAND
Western Digital[132][133]
Hitachi[134] Rinkai Factory Japan, 5-2-2, Omikacho, Hitachi-shi, Ibaraki, 319–1221 MEMS Foundry
Hitachi[134] Haramachi Factory Japan, 20 Aza Oohara, Shimo-Ota, Haramachi-ku, Minamisouma-shi, Fukushima, 975-0041 Power semiconductors
Hitachi[134] Yamanashi Factory Japan, 545, Itchohata, Chuo-shi, Yamanashi, 409-3813 Power semiconductors
ABB[135] Lenzburg Switzerland,
Aargau,
Lenzburg
0.140 2010 (second phase) 130, 150 18,750 (225,000 per year) High power semiconductors, diodes, IGBT, BiMOS
ABB[135] (formerly Polovodiče a.s.)[136] Czech Republic, Prague High power semiconductors, diodes[137]
Mitsubishi Electric[138] Power Device Works, Kunamoto Site[84] Japan 100, 125, 150, 200 2000–400 122,000 Power semiconductors
Mitsubishi Electric[138] Power Device Works, Fukuoka Site[84] Japan,
Kunamoto Prefecture,
Fukuoka City[139]
100, 150 3000–2000 50,000 Power semiconductors and sensors[139]
Mitsubishi Electric[140] High frequency optical device manufacturing plant[84] Japan,
Hyogo Prefecture[140]
100, 125 30,000 High frequency semiconductor devices (GaAsFET, GaN, MMIC)[140]
Powerchip Semiconductor Memory Foundry, Fab P1[141][142] Taiwan,
Hsinchu
2.24[1] 2002[1] 300 90, 70, 22[143] 80,000 Foundry, Memory IC, LCD drive IC, Integrated Memory Chips, CMOS Image Sensors, and Power Management IC
Powerchip Semiconductor Fab P2[142] Taiwan,
Hsinchu,
Hsinchu Science Park
1.86[1] 2005[1] 300 90, 70, 22[143] 80,000 Foundry, Memory IC, LCD drive IC, Integrated Memory Chips, CMOS Image Sensors, and Power Management IC
Powerchip Semiconductor (formerly Macronix) Fab P3[142] Taiwan,
Hsinchu,
Hsinchu Science Park
300 90, 70, 22[143] 20,000 Foundry, Memory IC, LCD drive IC, Integrated Memory Chips, CMOS Image Sensors, and Power Management IC
SPIL (formerly ProMOS) ProMOS Fab 4[144][145] Taiwan,
Taichung
1.6 300 70
Macronix (formerly ProMOS)[146] Fab 5 Taiwan, Hsinchu[147] 300 50,000
Macronix[146] Fab 2 Taiwan 200 48,000
Hon Young Semiconductor (formerly Macronix[146]) Fab 1[148] 150 800-400 40,000 Foundry, SiC, Automotive MOSFETs, MEMS
Renesas[149] Naka Factory Japan 2009 300 28[150]
Renesas (formerly Trecenti) Japan[151][152] 300 180, 90, 65 Foundry
Renesas[149] Takasaki Factory Japan, 111, Nishiyokotemachi, Takasaki-shi, Gunma, 370-0021
Renesas[149] Shiga Factory Japan, 2-9-1, Seiran, Otsu-shi, Shiga, 520-8555
Renesas[149] Yamaguchi Factory Japan, 20192–3, Higashimagura Jinga, Ube-shi, Yamaguchi, 757-0298
Renesas[149] Kawashiri Factory Japan, 1-1-1, Yahata, Minami-ku, Kumamoto-shi, Kumamoto, 861–4195
Renesas[149] Saijo Factory Japan, 8–6, Hiuchi, Saijo-shi, Ehime, 793-8501
Renesas[149] Musashi Site Japan, 5-20-1, Josuihon-cho, Kodaira-shi, Tokyo, 187-8588
Renesas – Intersil[149] Palm Bay United States, Florida, Palm Bay
Integrated Device Technology United States,
Oregon,
Hillsboro
1997 200 140–100[153]
NEC[83] 100, 130, 150 SRAM, DRAM
NEC[154] Japan DRAM
TSI Semiconductors[155] (formerly Renesas) Roseville fab, M-Line, TD-Line, K-Line[156][1] United States,
California ,
Roseville
1992, 1985[1] 200
TDK – Micronas FREIBURG[157][158] Germany,
19 D-79108, Hans-Bunte-Strasse
TDK (formerly Renesas) Tsuruoka Higashi[159][160] 125[161]
TDK Japan,
Saku[162]
TDK – Tronics United States,
Texas ,
Addison[163]
Silanna (formerly Sapphicon Semiconductor) Australia,
New South Wales,
Sydney[1]
0.030 1965,1989[1] 150
Silanna (formerly Sapphicon Semiconductor) (formerly Peregrine Semiconductor) (formerly Integrated Device Technology) Australia,
New South Wales,
Sydney

[164]

150 500, 250 RF CMOS, SOS, foundry
Murata Manufacturing[165] Nagano[161] Japan 0.100 Murata en France (ex IPDIA): see https://www.ouest-france.fr/economie/industries/electronique-sous-banniere-japonaise-le-normand-ipdia-devient-geant-4646889 SAW filters[161]
Murata Manufacturing[165] Otsuki[161] Japan
Murata Manufacturing[165] Kanazawa Japan 0.111 SAW filters[161]
Murata Manufacturing (formerly Fujifilm)[166][167] Sendai Japan,
Miyagi Prefecture
0.092[161] MEMS[168]
Murata Manufacturing[166] Yamanashi Japan,
Yamanashi Prefecture
Murata Manufacturing[169] Yasu Japan,
Shiga Prefecture,
Yasu
Murata Electronics (Finland)[170] (formerly VTI, since 1979 Vaisalas int. semicon. line)[171] Vantaa Finland 2012,[172] expanded 2019[173] 3D MEMS accelerometers, inclinometers, pressure sensors, gyros, oscillators etc.[174]
Mitsumi Electric[175] Semiconductor Works #3 Japan,
Atsugi Operation Base
2000
Mitsumi Electric[175] Japan,
Atsugi Operation Base
1979
Sony[176] Kagoshima Technology Center[84] Japan,
Kagoshima
1973 100, 125, 150 2000–500 110,000 Bipolar CCD, MOS, MMIC, SXRD
Sony[176] Oita Technology Center Japan,
Oita
2016 CMOS Image Sensor
Sony[176] Nagasaki Technology Center[84] Japan,
Nagasaki
1987 150 1000-350 80,000 MOS LSI, CMOS Image Sensors, SXRD
Sony[176] Kumamoto Technology Center Japan,
Kumamoto
2001 CCD Image Sensors, H-LCD, SXRD
Sony[176] Shiroishi Zao Technology Center Japan,
Shiroishi
1969 Semiconductor Lasers
Sony Sony Shiroishi Semiconductor Inc. Japan,
Miyagi
Semiconductor Lasers[177]
Sony (formerly Renesas) (formerly NEC Electronics) (formerly NEC)[176][178][179] Yamagata Technology Center[84] Japan,
Yamagata
2014 (Sony) 100, 125, 150, 200 3000, 2000, 800 MOS, bipolar, CMOS Image Sensor, eDRAM (formerly)
SK Hynix[180] China,
Chongqing
SK Hynix[180] China,
Chongqing
SK Hynix[181][182] South Korea,
Cheongju,
Chungcheongbuk-do
Under construction[183] NAND Flash
SK Hynix[182] South Korea,
Cheongju
Under construction NAND Flash
SK Hynix HC1 China,
Wuxi
300 100,000[18] DRAM
SK Hynix HC2 China,
Wuxi
300 70,000[18] DRAM
SK Hynix[182] M16 South Korea,
Icheon
3.13 (13.4 total planned) 2021 (planned) 300 10 (EUV) 15,000–20,000 (initial) DRAM
LG Innotek[184] Paju South Korea,
570, Hyuam-ro, Munsan-eup, Paju-si, Gyeonggi-do, 10842
LED Epi-wafer, Chip, Package
ON Semiconductor (formerly GlobalFoundries) (formerly IBM)[185][186][187] United States,
New York,
East Fishkill
2.5, +.29 (future)[188] 2002 300 90–22, 14 12,000–15,000[188] Foundry, RF SOI, SOI FinFET (former), SiGe, SiPh
ON Semiconductor (formerly LSI) Gresham[189] United States,
Oregon,
Gresham
200 110
ON Semiconductor (formerly Fairchild Semiconductor) (formerly National Semiconductor) (formerly Fairchild Semiconductor) United States,
Pennsylvania,
Mountain Top
1960–1997 200 350
ON Semiconductor (formerly TESLA) Roznov Czech Republic,
Zlín,
Rožnov pod Radhoštěm
150 5000 SiC
ON Semiconductor (formerly Motorola) ISMF Malaysia,
Seremban
150 350 80,000 Discrete
ON Semiconductor (formerly Fujitsu)[190][191] Aizu Wakamatsu Plant[192][84] Japan,
Fukushima, 3 Kogyo Danchi, Monden-machi, Aizuwakamatsu-shi, 965-8502
1970[90] 150, 200[193][194][195][196] 2000-130 Memory, Logic
JS Foundry K.K. (formerly ON Semiconductor) (formerly Sanyo)[197][198] Niigata[84] Japan,
Niigata
125, 150 2000–600, 350 120,000 CMOS, bipolar, BiCMOS
BelGaN Group (formerly ON Semiconductor) (formerly AMI Semiconductor) (formerly Alcatel Microelectronics) (formerly Mietec)[199] Oudenaarde[200] Belgium,
East Flanders,
Oudenaarde
150, 200 3000, 2000–350 GaN, mixed-signal CMOS, BCD foundry
LA Semiconductor (formerly ON Semiconductor) (formerly AMI Semiconductor) Pocatello[201] United States,
Idaho,
Pocatello
1997[202] 200 350
Diodes Incorporated[203] (formerly ON Semiconductor) (formerly Fairchild Semiconductor) (formerly National Semiconductor) (formerly Fairchild Semiconductor) SPFAB United States,
Maine,
South Portland
1960–1997 200 350
Diodes Incorporated[204] (formerly Zetex Semiconductors) OFAB UK,
England ,
Greater Manchester,
Oldham
150
Diodes Incorporated (formerly BCD Semi)[205] China 150 4000–1000
Lite-On Optoelectronics[206] China,
Tianjin
Lite-On Optoelectronics[206] Thailand,
Bangkok
Lite-On Optoelectronics[206] China,
Jiangsu
Lite-On Semiconductor[207] Keelung Plant Taiwan,
Keelung
1990 100 Thyristor, DIscrete
Lite-On Semiconductor[207] Hsinchu Plant Taiwan,
Hsinchu
2005 Bipolar BCD, CMOS
Lite-On Semiconductor[207] Lite-On Semi (Wuxi) China,
Jiangsu
2004 100 Discrete
Lite-On Semiconductor[207] Wuxi WMEC Plant China,
Jiangsu
2005 Discrete, Power, Optical ICs
Lite-On Semiconductor[207] Shanghai (SSEC) Plant China,
Shanghai
1993 76 Fab, Assembly
Trumpf[208] (formerly Philips Photonics) Germany,
Baden-Württemberg,
Ulm
VCSEL
Philips[209] Netherlands,
North Brabant,
Eindhoven
150, 200 30,000 R&D, MEMS
Newport Wafer Fab[210] (formerly Infineon Technologies) FAB11 UK,
Wales,
Newport
200[211] 700-180[211] 32,000[211] Foundry, Compound Semiconductors, IC, MOSFET, IGBT[212]
Nexperia (formerly NXP Semiconductors) (formerly Philips) Hamburg site[213] Germany,
Hamburg
1953 200 35,000 Small-signal and bipolar discrete devices
Nexperia (formerly NXP Semiconductors) (formerly Philips) (formerly Mullard) Manchester[213] UK,
England ,
Greater Manchester,
Stockport
1987? 150, 200 24,000 GaN FETs, TrenchMOS MOSFETs
NXP Semiconductors (formerly Philips) ICN8 Netherlands,
Gelderland,
Nijmegen
200 40,000+[214] SiGe
NXP Semiconductors Japan[83] Bipolar, Mos, Analog, Digital, Transistors, Diodes
NXP Semiconductors - SSMC SSMC Singapore 1.7[1] 2001[1] 200 120 53,000 SiGe
NXP Semiconductors – Jilin Semiconductor China,
Jilin
130
NXP Semiconductors (formerly Freescale Semiconductor) (formerly Motorola) Oak Hill Fab[215] United States,
Texas ,
Austin, Texas
0.8[216] 1991 200 250
NXP Semiconductors (formerly Freescale Semiconductor) (formerly Motorola) Chandler Fab[217] United States,
Arizona,
Chandler[218]
1.1[219] +0.1 (GaN) 1993 150 (GaN), 200 180 GaN-on-SiC pHEMT
NXP Semiconductors (formerly Freescale Semiconductor) (formerly Motorola) ATMC[220] United States,
Texas ,
Austin, Texas
1995 200 90
NXP Semiconductors (formerly Freescale Semiconductor) (formerly Motorola) MOTOFAB1[221] Mexico,
Jalisco,
Guadalajara
2002
AWSC Taiwan,
Tainan[1]
1999[1] 150 12,000 Foundry, GaAs HBT, D pHEMT, IPD, ED pHEMT, ED BiHEMT, InGaP
Skyworks Solutions[222] (formerly Conexant) (formerly Rockwell) United States,
California ,
Newbury Park
100, 150 Compound Semiconductors (GaAs, AlGaAs, InGaP)
Skyworks Solutions[222] (formerly Alpha Industries) United States,
Massachusetts ,
Woburn
100, 150 RF/cellular components (SiGe, GaAs)
Skyworks Solutions[222] Japan,
Osaka
SAW, TC-SAW Filters
Skyworks Solutions[222] Japan,
Kadoma
SAW, TC-SAW Filters
Skyworks Solutions[222] Singapore,
Bedok South Road
SAW, TC-SAW Filters
Win Semiconductor Fab A[223] Taiwan,
Taoyuan City
150[224] 2000–10 Foundry, GaAs
Win Semiconductor Fab B[223] Taiwan,
Taoyuan City
150[224] 2000–10 Foundry, GaAs, GaN
Win Semiconductor Fab C Taiwan,
Taoyuan[1]
0.050, 0.178 2000, 2009[1] 150 Foundry, GaAs
ams[225] FAB B Austria,
Styria,
Unterpremstätten
200 350
Osram (Osram Opto Semiconductors) Malaysia,
Kulim,
Kulim Hi-Tech Park
0.350, 1.18[226] 2017, 2020 (second phase, planned)[227][228] 150 LEDs
Osram (Osram Opto Semiconductors) Malaysia,
Penang[229][230]
2009 100 LEDs
Osram (Osram Opto Semiconductors) Germany,
Bavaria,
Regensburg[231]
2003, 2005 (second phase)[232] LEDs
Winbond Memory Product Foundry[233] Taiwan,
Taichung
300 46
Winbond CTSP Site[234][235] Taiwan,
No. 8, Keya 1st Rd., Daya Dist., Central Taiwan Science Park, Taichung City 42881
300
Winbond[236] Planned 300
Vanguard International Semiconductor Fab 1[148] Taiwan,
Hsinchu
0.997[1] 1994[1] 200 500, 350, 250 55,000 Foundry, CMOS
Vanguard International Semiconductor (formerly Winbond) Fab 2 (formerly Fab 4&5)[237] Taiwan,
Hsinchu
0.965[1] 1998[1] 200 55,000 Foundry
Vanguard International Semiconductor Corporation (formerly GlobalFoundries) (formerly Chartered) Fab 3E[238] Singapore 1.3[1] 200 180 34,000 Foundry
TSMC Fab 2[239][148] Taiwan,
Hsinchu
0.735[1] 1990[1] 150 800, 600, 500 88,000[240][1] Foundry, CMOS
TSMC Fab 3[148] Taiwan,
Hsinchu
2[1] 1995[1] 200 500, 350, 250 100,000[1] Foundry, CMOS
TSMC Fab 5[148] Taiwan,
Hsinchu
1.4[1] 1997[1] 200 350, 250, 180 48,000[1] Foundry, CMOS
TSMC Fab 6 Taiwan,
Tainan
2.1[1] 2000, January; 2001[151] 200, 300 180–? 99,000[1] Foundry
TSMC (formerly TASMC) (formerly Acer Semiconductor Manufacturing Inc.) (formerly Texas Instruments)[241][242][243] Fab 7[244] Taiwan 200 350, 250, 220, 180 33,000 Foundry (current)

DRAM (former), Logic (former)

TSMC (formerly WSMC) Fab 8[245] Taiwan,
Hsinchu
1.6[1] 1998[1] 200 250, 180 85,000[1] Foundry
TSMC (formerly WSMC)[152] 2000 200 250, 150 30,000 Foundry
TSMC China Company Fab 10 China,
Shanghai
1.3[1] 2004[1] 200 74,000 Foundry
TSMC Fab 12 Taiwan,
Hsinchu
5.2, 21.6 (total, all phases combined)[1] 2001[1] 300 150–28 77,500–123,800 (all phases combined)[1] Foundry
TSMC Fab 12 (P4) Taiwan,
Hsinchu
6[1] 2009[1] 300 20 40,000[1] Foundry
TSMC Fab 12 (P5) Taiwan,
Hsinchu
3.6[1] 2011[1] 300 20 6,800[1] Foundry
TSMC Fab 12 (P6) Taiwan,
Hsinchu
4.2[1] 2013[1] 300 16 25,000 Foundry
TSMC Fab 14 Taiwan,
Tainan
5.1[1] 2002,[151] 2004[1] 300 20 82,500[1] Foundry
TSMC Fab 14 (B) Taiwan,
Tainan
300 16 50,000+[246] Foundry
TSMC Fab 14 (P3)[1] Taiwan,
Tainan
3.1[1] 2008[1] 300 16 55,000[1] Foundry
TSMC Fab 14 (P4)[1] Taiwan,
Tainan
3.750[1] 2011[1] 300 16 45,500[1] Foundry
TSMC Fab 14 (P5)[1] Taiwan,
Tainan
3.650[1] 2013[1] 300 16 Foundry
TSMC Fab 14 (P6)[1] Taiwan,
Tainan
4.2[1] 2014[1] 300 16 Foundry
TSMC Fab 14 (P7)[1] Taiwan,
Tainan
4.850[1] 2015[1] 300 16 Foundry
TSMC Fab 15[247] Taiwan,
Taichung
9.3 2011 300 20 100,000+(166,000 estimate)[248][246][249] Foundry
TSMC Fab 15 (P1)[1] Taiwan,
Taichung
3.125[1] 2011 300 4,000[1] Foundry
TSMC Fab 15 (P2)[1] Taiwan,
Taichung
3.150[1] 2012[1] 300 Foundry
TSMC Fab 15 (P3)[1] Taiwan,
Taichung
3.750[1] 2013[1] 300 Foundry
TSMC Fab 15 (P4)[1] Taiwan,
Taichung
3.800[1] 2014[1] 300 Foundry
TSMC Fab 15 (P5)[1] Taiwan,
Taichung
9.020[1] 2016[1] 300 35,000 Foundry
TSMC Fab 18 (P1-P3) Taiwan,
Southern Taiwan Science Park[250][251]
17.08 2020 (P7 under construction) 300 5[252] 120,000 Foundry
TSMC Fab 18 (P4-P6) Taiwan,
Southern Taiwan Science Park
2022 (planned), under construction 300 3[18][253][254] 120,000 Foundry
TSMC Fab 21 United States,
Arizona,
Phoenix
12[255] Q1 2024 (planned), P1 under construction[255][256] 300 5 & 4[256] 20,000[256] Foundry
Epistar Fab F1[257] Taiwan,
Longtan Science Park
LEDs
Epistar Fab A1[257] Taiwan,
Hsinchu Science Park
LEDs
Epistar Fab N2[257] Taiwan,
Hsinchu Science Park
LEDs
Epistar Fab N8[257] Taiwan,
Hsinchu Science Park
LEDs
Epistar Fab N1[257] Taiwan,
Hsinchu Science Park
LEDs
Epistar Fab N3[257] Taiwan,
Hsinchu Science Park
LEDs
Epistar Fab N6[257] Taiwan,
Chunan Science Park
LEDs
Epistar Fab N9[257] Taiwan,
Chunan Science Park
LEDs
Epistar Fab H1[257] Taiwan,
Central Taiwan Science Park
LEDs
Epistar Fab S1[257] Taiwan,
Tainan Science Park
LEDs
Epistar Fab S3[257] Taiwan,
Tainan Science Park
LEDs
Epistar (formerly TSMC)[258][259][260] Taiwan,
Hsin-Chu Science Park
0.080 2011, second half LEDs
Lextar T01 Taiwan,
Hsinchu Science Park
LEDs
GCS United States,
California ,
Torrance[1]
1999[1] 100 6,400 Foundry, GaAs, InGaAs, InGaP, InP, HBT, PICs
Bosch Germany,
Baden-Württemberg,
Reutlingen
1995[261] 150 ASIC, analog, power, SiC
Bosch Germany,
Saxony,
Dresden
1.0[262] 2021 300 65
Bosch WaferFab Germany,
Baden-Württemberg,
Reutlingen
0.708[263] 2010[261] 200 30,000 ASIC, analog, power, MEMS
STMicroelectronics AMK8 (second, newer fab) Singapore,
Ang Mo Kio
1995 200
STMicroelectronics (formerly SGS Microelettronica) AMJ9 (first fab) Singapore,
Ang Mo Kio
1984[264] 150, 200 6" 14 kpcs/day, 8" 1.4 kpcs/day Power-MOS/ IGBT/ bipolar/ CMOS
X-Fab Erfurt Germany,
Thuringia,
Erfurt
1985[1] 200[265] 1000-600[265] 11200–[265] Foundry
X-Fab (formerly ZMD) Dresden Germany,
Saxony,
Dresden
0.095[1] 1985[1] 200[266] 1000-350[266] 6000–[266] Foundry, CMOS, GaN-on-Si
X-Fab (formerly Itzehoe) Itzehoe Germany,
Schleswig-Holstein,
Itzehoe
200[267] 13000–[267] Foundry, MEMS
X-Fab (formerly 1st Silicon)[268][269] Kuching Malaysia,
Kuching
1.89[1] 2000[1] 200[270] 350-130[270] 30,000–[270] Foundry
X-Fab (formerly Texas Instruments) Lubbock United States,
Texas ,
Lubbock
0.197[1] 1977[1] 150, 200[271] 1000-600[271] 15000–[271] Foundry, SiC
X-Fab France SAS (formerly Altis Semiconductor) (formerly IBM)[272] ACL-AMF France,
Île-de-France,
Corbeil-Essonnes
1991, 1964[1] 200 350-130 Foundry, CMOS, RF SOI
IXYS Germany,
Hesse,
Lampertheim[273]
IGBT[273]
IXYS UK,
England ,
Wiltshire,
Chippenham[273]
IXYS United States,
Massachusetts [273]
IXYS United States,
California [273]
Samsung V1-Line[274] South Korea,
Hwaseong
6 2020, February 20 300 7 Microprocessors, Foundry
Samsung S3-Line[275] South Korea,
Hwaseong
10.2, 16.2 (planned)[276][277] 2017[276] 300 10 200,000 DRAM, VNAND, Foundry
Samsung S2-Line[278] United States,
Texas ,
Austin, Texas
16[279][280] 2011 300 65–11 92,000 Microprocessors, FDSOI, Foundry, NAND[281]
Samsung S1-Line[282] South Korea,
Giheung
33 (total) 2005 (second phase), 1983 (first phase)[283][284] 300 65–7 62,000 Microprocessors, S.LSI, LEDs, FDSOI, Foundry[285]
Samsung Pyeongtaek[286][287][276] South Korea,
Pyeongtaek
14.7, 27 (total)[288][280][289][290][291][292][293][183] 2017, July 6 300 14 450,000[294] V-NAND, DRAM, Foundry
Samsung 6 Line[295][148] South Korea,
Giheung
100, 150, 200 1500–500, 180–65 Foundry, CMOS, BiCMOS
Samsung Samsung China Semiconductor[296] China,
Shaanxi Province
DDR Memory
Samsung Samsung Suzhou Research Center (SSCR)[282] China,
Suzhou,
Suzhou Industrial Park
DDR Memory
Samsung Onyang Complex[296] South Korea,
Chungcheongnam-do
display.backend process.test
Samsung F1x1[297][276] China,
Xian
2.3[298] 2014 (first phase, second phase is under review)[276] 300 20 100,000 VNAND
Samsung Giheung Campus[299] South Korea,
Gyeonggi-do,
Yongin
LEDs
Samsung Hwasung Campus[299] South Korea,
Gyeonggi-do,
Hwaseong
LEDs
Samsung Tianjin Samsung LED Co., Ltd.[299] China,
Tianjin,
Xiqing
LEDs
Seagate United States,
Minnesota,
Minneapolis[300]
Seagate UK,
Northern Ireland[301][302][303][304]
Broadcom Inc. (formerly Avago) United States,
Colorado,
Fort Collins[305]
Wolfspeed (formerly Cree Inc.)[306] Durham United States,
North Carolina,
Durham
Compound Semiconductors, LEDs
Wolfspeed (formerly Cree Inc.)[307] Research Triangle Park United States,
North Carolina
GaN HEMT RF ICs
SMART Modular Technologies Brazil,
São Paulo,
Atibaia
2006 Packaging
Infineon Technologies Villach Austria,
Carinthia,
Villach
1970[308] 100, 150, 200, 300 MEMS, SiC, GaN
Infineon Technologies Dresden Germany,
Saxony,
Dresden
3[309] 1994–2011[310] 200, 300 90
Infineon Technologies Kulim[311] Malaysia,
Kulim
2006[312] 200, 300 50,000
Infineon Technologies Kulim 2 Malaysia,
Kulim
2015 200, 300 50,000
Infineon Technologies Regensburg[313] Germany,
Bavaria,
Regensburg
1959
Infineon Technologies Cegled[314] Hungary,
Pest,
Cegléd
Infineon Technologies El Segundo United States,
California ,
El Segundo[315]
D-Wave Systems[316] Superconducting Foundry[317] Quantum Processing Units (QPUs)[317]
GlobalFoundries (formerly AMD) Fab 1 Module 1[318] Germany,
Saxony,
Dresden
3.6[1] 2005 300 45-22 35,000[1] Foundry, SOI, FDSOI
GlobalFoundries (formerly AMD) Fab 1 Module 2 Germany,
Saxony,
Dresden
4.9[1] 1999 300 45-22 25,000[1] Foundry, SOI
GlobalFoundries Fab 1 Module 3 Germany,
Saxony,
Dresden
2.3[1] 2011[1] 300 45-22 6,000[1] Foundry, SOI
GlobalFoundries (formerly Chartered) Fab 2[238] Singapore 1.3[1] 1995[1] 200 600-350 56,000[1] Foundry, SOI
GlobalFoundries (formerly Chartered) Fab 3/5[238] Singapore 0.915, 1.2[1] 1997, 1995[1] 200 350-180 54,000 Foundry, SOI
GlobalFoundries (formerly Chartered) Fab 6[238] (merged into Fab 7) Singapore 1.4[1] 2000[1] 200, 300 (merged) 180-110 45,000 Foundry, SOI
GlobalFoundries (formerly Chartered) Fab 7[318] Singapore 4.6[1] 2005[1] 300 130, 110, 90, 65, 40 50,000 Foundry, Bulk CMOS, RF SOI
GlobalFoundries Fab 8[318] United States,
New York,
Malta
4.6, 2.1, (1, future)[319] 13+ (total)[188][320] 2012, 2014[1] 300 28, 22, 14, 12 60,000 (+12,500 future) Foundry, High-K Metal Gate,[321] SOI FinFET
GlobalFoundries (formerly IBM[322]) Fab 9 United States, Vermont, Essex Junction 1957[323] 200 350-90 50,000[324] Foundry, SiGe, RF SOI, GaN[324]
GlobalFoundries Technology Development Center[1] United States,
New York,
Malta
1.5[1] 2014[1]
SUNY Poly CNSE NanoFab 300 North[325] United States,
New York,
Albany
0.175, 0.050 2004, 2005 300 65, 45, 32, 22
SUNY Poly CNSE NanoFab 200[326] United States,
New York,
Albany
0.016 1997 200
SUNY Poly CNSE NanoFab Central[325] United States,
New York,
Albany
0.150 2009 300 22
Skorpios Technologies (formerly Novati) (formerly ATDF) (formerly SEMATECH) United States,
Texas ,
Austin, Texas [1][327]
0.065 1989[1] 200 10,000 MEMS, photonics, foundry
Opto Diode United States,
California ,
Camarillo[328]
Optek Technology[83] 1968 100, 150 GaAs, LEDs
II-VI (formerly Oclaro) (formerly Bookham) (formerly NORTHERN TELECOM SEMICONDUCTOR

NORTHERN TELECOM EUROPE[83]) (formerly JDS Uniphase) (formerly Uniphase)

Semiconductor Lasers, Photodiodes
Infinera United States,
California ,
Sunnyvale[329][330]
Rogue Valley Microdevices[331][332][333] United States,
Oregon,
Medford
2003 50.8–300 MEMS Foundry, Thin Films Foundry, Silicon Wafers, Wafer Services, MEMS R&D
Atomica Fab 1 United States,
California ,
Goleta
2000 150, 200 350 20,000 Foundry: MEMS, Photonics, Sensors, Biochips
Sensera uDev-1 United States,
Massachusetts ,
Woburn
2014 150 700 1,000 MEMS, MicroDevice assembly
Rigetti Computing Fab-1[334][335][336] United States,
California ,
Fremont
130 Quantum Processors
NHanced Semiconductors[337] MNC United States,
North Carolina,
Morrisville
2001 100, 150, 200 >=500 1000 MEMS, Silicon Sensors, BEoL, 2.5/3D and advanced packaging
Polar Semiconductor[338] FAB 1,2,3 United States,
Minnesota,
Bloomington
200 BCD, HV, GMR
Orbit Semiconductor[83] 100 CCD, CMOS
Entrepix United States,
Arizona,
Tempe[1]
2003[1]
Medtronic United States,
Arizona,
Tempe[1]
1973[1]
Technologies and Devices International United States,
Florida,
Silver Springs[1]
2002[1]
Soraa Inc United States,
California [339][340]
Soraa Laser Diode[339]
Mirrorcle Technologies United States,
California ,
Richmond[341]
HTE LABS HTE LABS United States,
California ,
San Jose
0.005 2009 100, 150 4000–1000 1,000 Pure Play Wafer Foundry -BIPOLAR, BICMOS, CMOS, MEMS www.htelabs.com
HT Micron Brazil,
Rio Grande do Sul,
São Leopoldo
2014 DRAM, eMCP, iMCP
Unitec do Brasil Brazil,
Minas Gerais,
Ribeirão das Neves
Planned
Unitec Blue[342] Argentina,
Buenos Aires Province,
Chascomús
0.3 (1.2 planned)[343] 2013 RFID, SIM, EMV
Everlight Yuan-Li Plant Taiwan,
Miao-Li
LEDs
Everlight Pan-Yu Plant China LEDs
Everlight Tu-Cheng Plant Taiwan,
Taipei Country
LEDs
Optotech[344] Taiwan,
Hsinchu
LEDs
Arima Optoelectronics Taiwan,
Hsinchu[1]
1999[1]
Episil Semiconductor Taiwan,
Hsinchu[1]
1992, 1990, 1988[1]
Episil Semiconductor Taiwan,
Hsinchu[1]
1992, 1990, 1988[1]
Creative Sensor Inc. [345][346] NanChang Creative Sensor China,
Jiangxi
2007 Image Sensors
Creative Sensor Inc. [345] Wuxi Creative Sensor China,
JiangSu
2002
Creative Sensor Inc. [345] Wuxi Creative Sensor Taiwan,
Taipei City
1998
Visera Technologies[347] Headquarters Phase I Taiwan,
Hsinchu Science-based Industrial Park
2007, September CMOS Image Sensors
Panjit Taiwan,
Kaohsiung[1]
0.1 2003[1]
Nanosystem Fabrication Facility Hong Kong[348]
GTA Semiconductor (formerly ASMC)[349][350] Fab 2, Fab 3[351] China,
Shanghai,
Xuhui District
200 350, 180, 150[352] 55333 HV Analog, Power
GTA Semiconductor Fab 5, Fab 6 China,
Shanghai,
Pudong New Area
5.1[353] 2020 150, 200, 300 115000
Shanghai Belling[354] China,
Shanghai
150 1200 BiCMOS, CMOS
SiSemi[355] China,
Shenzhen,
Longgang High-tech Industrial Park[356]
2004 130 Power semiconductors, LED drivers, bipolar power transistors, power MOSFETs
SiSemi[356] 1997 100 Transistors
CRMicro (formerly CSMC)[357] Fab 1 1998[1] 150[358] 60,000[1] HV Analog, MEMS, Power, Analog, Foundry
CRMicro (formerly CSMC) Fab 2 China,
Wuxi
2008[1] 200[358] 180, 130 40,000[1] HV Analog, Foundry
CRMicro (formerly CSMC) Fab 3 1995[1] 200[358] 130 20,000[1]
CRMicro (formerly CSMC) Fab 5 2005[1] 30,000[1]
Nexchip[18] N1[359] China,
Hefei
Q4 2017 300 40,000 Display Drivers IC[360]
Nexchip[18] N2[359] China,
Hefei
Under construction 300 40,000
Nexchip[18] N3[359] China,
Hefei
Under construction 300 40,000
Nexchip[18] N4[359] China,
Hefei
Under construction 300 40,000
Wandai[18] CQ China,
Chongqing
Under construction 300 20,000
San'an Optoelectronics[361] Tianjin San'an Optoelectronics Co., Ltd. China,
Tianjin
LEDs
San'an Optoelectronics Xiamen San'an Optoelectronics Technology Co., Ltd. China,
Xiamen
LEDs
San'an Optoelectronics Xiamen San'an Optoelectronics Co., Ltd. China,
Xiamen
LEDs
San'an Optoelectronics Wuhu Anrui Optoelectronics Co., Ltd. China,
Wuhu
LEDs
San'an Optoelectronics Anrui San'an Optoelectronics Co., Ltd. China,
Wuhu
LEDs
San'an Optoelectronics Luminus Summary United States LEDs
San'an Optoelectronics Quanzhou San'an Semiconductor Technology Co., Ltd. China,
Nan'an
LEDs
Sanan IC[362] Xiamen Fab[363] China,
Xiamen
0.00785 2014 150 30,000 SAW filters, Foundry, GaA, GaN, RF, Power
Sanan IC Quanzhou Fab China,
Quanzhou
4.6 2017 150 8,000 SAW filters, Foundry, GaA, RF
Sanan IC Changsha Fab China,
Changsha
2.3 2021 150 30,000 Foundry, GaN, SiC, Power
Hua Hong Semiconductor HH Fab7 China, Wuxi 300 90-55 65,000[364] Foundry, eNVM, RF, Mixed Signal, Logic, Power Management, Power Discrete
Hua Hong Semiconductor HH Fab1 China,
Shanghai, Jinqiao
200 95 65,000[365] Foundry, eNVM, RF, Mixed Signal, Logic, Power Management, Power Discrete
Hua Hong Semiconductor HH Fab2 China,
Shanghai, Zhangjiang
200 180 60,000[365] Foundry, eNVM, RF, Mixed Signal, Logic, Power Management, Power Discrete
Hua Hong Semiconductor HH Fab3 China,
Shanghai, Zhangjiang
200 90 53,000[365] Foundry, eNVM, RF, Mixed Signal, Logic, Power Management, Power Discrete
Hua Hong Semiconductor (HLMC) HH Fab5[366] China,
Shanghai, Zhangjiang
2011 300 65/55-40 35,000 Foundry
Hua Hong Semiconductor (HLMC) HH Fab6 China,
Shanghai, Kangqiao
2018 300 28/22 40,000 Foundry
HuaLei Optoelectronic China LEDs[367]
Sino King Technology[17] China,
Hefei
2017 DRAM
APT Electronics China,
Guangzhou[1]
2006[1]
Aqualite China,
Guangzhou[1]
2006[1]
Aqualite China,
Wuhan[1]
2008[1]
Xiamen Jaysun Semiconductor Manufacturing Fab 101 China,
Xiamen[1]
0.035 2011[1]
Xiyue Electronics Technology Fab 1 China,
Xian[1]
0.096 2007[1]
Hanking Electronics Fab 1 China,
Fushun
2018 200 10,000 – 30,000 MEMS Foundry ,

MEMS Design

MEMS Sensors (Inertial, Pressure, Ultrasound,
Piezoelectric, LiDar, Bolometer )

IoT Motion Sensors

CanSemi[368] Phase I China,
Guangzhou
4 2019[369] 300 180–90[370] 20,000 Power, Analog, Power Discrete
CanSemi Phase II China,
Guangzhou
2022 300 90-55 20,000
CanSemi Phase III China,
Guangzhou
2.4[371] Planned 300 55-40 40,000 Automotive, IoT
SensFab Singapore[1] 1995[1]
MIMOS Semiconductor Malaysia,
Kuala Lumpur[1]
0.006, 0.135 1997, 2002[1]
Silterra Malaysia Fab1 Malaysia,
Kedah,
Kulim
1.6 2000 200 250, 200, 180–90 46,000 CMOS, HV, MEMS, RF, Logic, Analog, Mix Signal
Pyongyang Semiconductor Factory 111 Factory North Korea,
Pyongyang
1980s 3000[372]
DB HiTek Fab 1 South Korea,
Bucheon[1]
1997[1] Foundry
DB HiTek Fab 2 South Korea,
Eumsung-Kun[1]
2001[1] Foundry
DB HiTek Fab 2 Module 2 South Korea,
Eumsung-Kun[1]
Foundry
Kodenshi AUK Group[373] Silicon FAB Line
Kodenshi AUK Group[373] Compound FAB Line
Kyocera SAW devices[161]
Seiko Instruments[374] China,
Shanghai
Seiko Instruments[374] Japan,
Akita
Seiko Instruments[374] Japan,
Takatsuka
NIPPON PRECISION CIRCUITS[83] Digital
Epson[375] T wing Japan,
Sakata
1997 200 350-150 25,000
Epson[375] S wing Japan,
Sakata
1991 150 1200-350 20,000
Olympus Corporation[376] Nagano Japan,
Nagano Prefecture
MEMS[377]
Olympus Japan MEMS[378]
Shindengen Electric Manufacturing[379] Philippines,
Laguna,
Calamba
Shindengen Electric Manufacturing[379] Thailand,
Lamphun
NKK JFE Holdings[83] 200 6000 ,
New Japan Radio Kawagoe Works Japan,
Saitama Prefecture,
Fujimino City[380][381]
1959[83] 100, 150 4000, 400, 350 Bipolar, Mixed Signal, Analog, Hi Speed BiCMOS, BCD, 40V Hi Speed Complementary Bipolar, Analog CMOS+HV,

SAW Filters[382]

New Japan Radio Saga Electronics [383] Japan,
Saga Prefecture
100, 150 4000, 400, 350[384] Foundry, Bipolar, Mixed Signal, Analog, Hi Speed BiCMOS, BCD, 40V Hi Speed Complementary Bipolar, Analog CMOS+HV,

SAW Filters[382]

New Japan Radio NJR FUKUOKA Japan,
Fukuoka Prefecture,
Fukuoka City[383]
2003[385] 100, 150 Bipolar, Analog ICs, MOSFETs LSI, BiCMOS ICs
New Japan Radio Japan,
Nagano,
Nagano City[386]
New Japan Radio Japan,
Nagano,
Ueda City[386]
Nichia YOKOHAMA TECHNOLOGY CENTER[387] Japan,
KANAGAWA
LEDs
Nichia SUWA TECHNOLOGY CENTER[387] Japan,
NAGANO
LEDs
Taiyo Yuden Japan,
Nagano
SAW devices[161]
Taiyo Yuden Japan,
Ome
SAW devices[161]
NMB SEMICONDUCTOR[83] DRAM
Silex Microsystems Sweden,
Stockholm County,
Järfälla[1]
0.009, 0.032 2003, 2009[1]
Elmos Semiconductor Germany,
North Rhine-Westphalia,
Dortmund[388]
1984 200 800, 350 9000 HV-CMOS
United Monolithic Semiconductors[389] Germany,
Baden-Württemberg,
Ulm
100 700, 250, 150, 100 Foundry, FEOL, MMIC, GaAs pHEMT, InGaP, GaN HEMT, MESFET, Schottky diode
United Monolithic Semiconductors[389] France,
Île-de-France,
Villebon-sur-Yvette
100 Foundry, BEOL
Innovative Ion Implant France,
Provence-Alpes-Côte d'Azur,
Peynier
51–300[390]
Innovative Ion Implant UK,
Scotland,
Bathgate
51–300[390]
nanoPHAB Netherlands,
North Brabant,
Eindhoven
50–100 50-10 2–10 MEMS
Micron Semiconductor Ltd.[391] Lancing UK,
England ,
West Sussex,
Lancing
Detectors
Pragmatic Semiconductor FlexLogic 001 UK,
England ,
Durham
0.020 2018 200 600 4,000 Flexible Semiconductor /

Foundry and IDM

Pragmatic Semiconductor FlexLogic 002 UK,
England ,
Durham
0.050 2023 300 600 15,000 Flexible Semiconductor /

Foundry and IDM

Pragmatic Semiconductor FlexLogic 003 UK,
England ,
Durham
0.050 Planned 2025 on line 300 600 15,000 Flexible Semiconductor /

Foundry and IDM

INEX Microtechnology UK,
England ,
Northumberland,
Newcastle upon Tyne
2014 150 Foundry
CSTG UK,
Scotland,
Glasgow[1][392]
2003[1] 76, 100 InP, GaAs, AlAs, AlAsSb, GaSb, GaN, InGaN, AlN, diodes, LEDs, lasers, PICs, Optical amplifiers, Foundry
Photonix UK,
Scotland,
Glasgow[1]
0.011 2000[1]
Integral Belarus ,
Minsk
1963 100, 150, 200 2000, 1500, 350
VSP Mikron WaferFab[393] Russia,
Voronezh Oblast,
Voronezh
1959 100, 150 900+ 6,000 Analog, power
Semikron Nbg Fab Germany ,
Nuremberg
1984 150 3500 70,000 Bipolar, Power Semiconductors
NM-Tech Russia,
Moscow,
Zelenograd
2016 200 250-110 20,000
Angstrem Liniya 100 Russia,
Moscow,
Zelenograd
1963 100 1200 500 (6,000 per year)[394]
Angstrem Liniya 150 Russia,
Moscow,
Zelenograd
1963 150 600 6,000 (72,000 per year)[394]
Mikron Group Mikron Russia,
Moscow,
Zelenograd
0.4[395] 2012 200 95-60 3,000
Mikron Group Mikron Russia,
Moscow,
Zelenograd
2009[396] 200 180
Crocus Nano Electronics Russia,
Moscow
0.2 2016 300 90-55 4,000[397] BEOL
NIIIS Russia,
Nizhny Novgorod Oblast,
Nizhny Novgorod
2010 100–150 350-150 MEMS
NPP Istok Russia,
Moscow Oblast,
Fryazino
150
Micran Russia,
Tomsk Oblast,
Tomsk
2015 100
Kremny El Russia,
Bryansk Oblast,
Bryansk
2019 500
Syntez Microelectronics Russia,
Voronezh Oblast,
Voronezh
1992 200 350-65 SiC, GaN, TSV
NZPP Vostok Russia,
Novosibirsk Oblast,
Novosibirsk
1956 100 250-180
Russian Space Systems Russia,
Moscow
76, 100, 150 1000
Ruselectronics Svetlana-Rost Russia,
Saint Petersburg
50, 76, 100 1000, 800, 500, 200
OKB-Planeta Svetlana-Rost Russia,
Novgorod Oblast,
Veliky Novgorod
100 150
FBK – Fondazione Bruno Kessler MNF Italy, Trento 1990 500 150 Research Institute; prototype productions of silicon MEMS, silicon radiation sensors

Number of open fabs currently listed here: Script error: No such module "Table row counter".

(NOTE: Some fabs located in Asia don't use the number 4, or any 2 digit number that adds up to 4, because it is considered bad luck; see tetraphobia.)

Closed plants

Company Plant Name Plant Location Plant Cost (in US$ Billions) Started Production Wafer Size (mm) Process Technology Node (nm) Production Capacity (Wafers/Month) Technology / Products Ended Production
VEF Soviet Union,
Latvia,
Riga
1960 Semi-secret government semiconductor fab and a major research center separated from the Russian military manufacturing complex by the collapse of the USSR. 1999
Tower Semiconductor (formerly Micron) Fab 4[398] Japan,
Hyōgo,
Nishiwaki
0.450[1] 1992[1] 200 95 60,000[1] DRAM, foundry 2014
Tower Semiconductor – Tacoma China,
Jiangsu,
Nanjing[399][400]
halted, bankruptcy in June 2020[401] 200, 300 (planned) Foundry 2020
Fujian Jinhua (JHICC)[18][402][403][404] F2 China,
Fujian,
Jinjiang
5.65[405] 2018 (planned) 300 22 60,000 DRAM[17] 2018
Decoma[18] F2 China,
Jiangsu,
Huai'an
Under construction 300 20,000 2020
Wuhan Hongxin Semiconductor Manufacturing (HSMC)[406] China,
Hubei,
Wuhan
2019 (halted) 300 14, 7 Foundry 2020
Tsinghua Unigroup – Unigroup Guoxin (Unigroup, Xi'an UniIC Semiconductors Co., Ltd.)[18] SZ China,
Guangdong,
Shenzhen
12.5 Planned 300 50,000 DRAM 2019 (just plan)
TSMC Fab 1[240][148] Taiwan
Hsinchu,
Baoshan
1987 150 2000-800 20,000 Foundry, CMOS, BiCMOS 2001, March 9
UMC Fab 1 Japan,
Chiba,
Tateyama
0.543[1] 1997[1] 200 40,000 Foundry 2012
SK Hynix E-4 United States,
Oregon,
Eugene
1.3 2007 200 30,000 DRAM 2008[407]
Symetrix – Panasonic[408] Brazil 0.9 (planned) planned FeRAM (just plan)
Rohm (formerly Data General) United States,
California ,
Sunnyvale[409]
Kioxia Fab 1 (at Yokkaichi Operations)[410] Japan,
Mie,
Yokkaichi
1992 200 400 35,000 SRAM, DRAM 2001, September
NEC Livingston[411][84] United Kingdom,
Scotland,
West Lothian,
Livingston
4.5 (total) 1981 150, 200 800–350, 250, 180 30,000 CMOS, DRAM, SRAM, MCUs, ASICs, DSPs 2001, April
LFoundry (de) (formerly Renesas Electronics)[412] Germany,
Bavaria,
Landshut
1992 200 2011
LFoundry (de) (formerly Atmel)[413] France,
Bouches-du-Rhône,
Rousset
? 200 25.000[414]
Atmel (formerly Siemens) Fab 9[415] United Kingdom,
Tyne and Wear,
North Tyneside
1.53[416] 1998[417] DRAM[417] 2007[418]
EI Niš Ei Poluprovodnici Serbia,
Nišava,
Niš
1962 100 2000
Plessey Semiconductors (formerly Plus Semi) (formerly MHS Electronics) (formerly Zarlink) (formerly Mitel) (formerly Plessey Semiconductors) [200] UK,
Wiltshire,
Swindon[1]
100, 150 800, 500 8,000 Bipolar, ASICs, linear ICs
Telefunken Semiconductors (de) Heilbronn, HNO-Line[200] Germany,
Baden-Württemberg,
Heilbronn
0.125[1] 1993[1] 100, 150 800 10,000 Bipolar, CMOS, BiCMOS, GaAs, SiGe, ASICs, ASSPs, MCUs, discrete, optoelectronics 2015
Qimonda Richmond[419] United States,
Virginia,
Richmond
3 2005 300 65 38,000 DRAM 2009, January
STMicroelectronics (formerly Nortel[83]) [200] United States,
California ,
San Diego,
Rancho Bernardo
100, 150 800, 500 NMOS, CMOS, BiCMOS 2002[420]
Freescale Semiconductor (formerly Motorola) Toulouse Fab[421] France,
Haute-Garonne,
Toulouse
1969 150 650 Automotive 2012[422]
Freescale Semiconductor (formerly Motorola) (formerly Tohoku Semiconductor) Sendai Fab[423] Japan,
Miyagi,
Sendai
1987 150, 200 500 DRAM, microcontrollers, analog, sensors 2009?
Agere Systems (formerly Lucent) (formerly AT&T)[424] Spain,
Madrid,
Tres Cantos
0.67[425] 1987[426] 500, 350, 300 CMOS 2001
GMT Microelectronics (formerly Commodore Semiconductor) (formerly MOS Technology) United States,
Pennsylvania,
Audubon
1969
1976
1995
1000 1976
1992[427]
2001
Integrated Device Technology United States,
California ,
Salinas
1985 150 800-350[153] 2002
ON Semiconductor (formerly Cherry Semiconductor) [428] United States,
Rhode Island,
East Greenwich
100, 150 1400 10,000 Bipolar, BiCMOS, Linear ICs and ASICs 2004
ON Semiconductor (formerly Motorola) [428] United States,
Arizona,
Phoenix
150 5000-500 12,000 MOS, power discrete 2011
ON Semiconductor (formerly Motorola) Aizu Plant[428] Japan,
Aizu
100, 150 1200, 1000 40,000 CMOS, MCUs, logic and smart power ICs 2012
ON Semiconductor (formerly Truesense Imaging, Kodak) Rochester United States,
New York,
Rochester[429]
150 CCDs and Image Sensors 2020
Intel Fab 8[59] Israel,
Jerusalem District,
Jerusalem
1985 150 Microprocessors, Chipsets, Microcontrollers[60] 2007
Intel Fab D2 United States,
California ,
Santa Clara
1989 200 130 8,000 Microprocessors, Chipsets, Flash memory 2009
Intel Fab 17[50][49] United States,
Massachusetts ,
Hudson
1998 200 130 Chipsets and other[49] 2014
Fairchild Semiconductor (formerly National Semiconductor) West Jordan United States,
Utah,
West Jordan
1977 150 2015[430]
Texas Instruments HFAB United States,
Texas ,
Houston
1967 150 2013[431]
Texas Instruments (formerly Silicon Systems) Santa Cruz United States,
California ,
Santa Cruz
0.250 1980 150 800 80,000 HDD 2001
Texas Instruments (formerly National Semiconductor) Arlington United States,
Texas ,
Arlington
1985 150 80000, 35000 2010
Unknown (fortune 500 company) United States,
East Coast[432]
150 1,600 MEMS 2016
Diodes Incorporated (formerly Lite-On Power Semiconductor) (formerly AT&T) KFAB United States,
Missouri,
Lee's Summit
1994[433] 130 2017[434]
Qorvo (formerly TriQuint Semiconductor) (formerly Sawtek) United States,
Florida,
Apopka[74][435]
SAW filters 2019
GlobalFoundries Abu Dhabi[1] UAE,
Emirate of Abu Dhabi,
Abu Dhabi[1]
6.8[1] (planned) 2016[1] (planned) 300 180-110 45,000 Foundry 2011 (plan stopped)
GlobalFoundries – Chengdu China,
Sichuan,
Chengdu[436]
10 (planned) 2018 (planned), 2019 (second phase) 300 180/130 (cancelled), 22 (second phase) 20,000 (85,000 planned) Foundry, FDSOI (second phase) 2020 (was idle)
Tondi Elektroonika[437] A-1381 Soviet Union,
Estonia,
Harju,
Tallinn
1958 Radio equipment, Transisors, Photodiode 1978
Intersil (formerly Harris Semiconductor, formerly GE, formerly RCA) [428] United States,
Ohio,
Findlay
1954 100, 125 2000, 1500, 1200 60,000 CMOS, bipolar, BiCMOS, Semiconductors, Optoelectronics, Integrated Circuits, Research[438] 2003[439]

Number of closed fabs currently listed here: Script error: No such module "Table row counter".

See also

References

  1. 1.000 1.001 1.002 1.003 1.004 1.005 1.006 1.007 1.008 1.009 1.010 1.011 1.012 1.013 1.014 1.015 1.016 1.017 1.018 1.019 1.020 1.021 1.022 1.023 1.024 1.025 1.026 1.027 1.028 1.029 1.030 1.031 1.032 1.033 1.034 1.035 1.036 1.037 1.038 1.039 1.040 1.041 1.042 1.043 1.044 1.045 1.046 1.047 1.048 1.049 1.050 1.051 1.052 1.053 1.054 1.055 1.056 1.057 1.058 1.059 1.060 1.061 1.062 1.063 1.064 1.065 1.066 1.067 1.068 1.069 1.070 1.071 1.072 1.073 1.074 1.075 1.076 1.077 1.078 1.079 1.080 1.081 1.082 1.083 1.084 1.085 1.086 1.087 1.088 1.089 1.090 1.091 1.092 1.093 1.094 1.095 1.096 1.097 1.098 1.099 1.100 1.101 1.102 1.103 1.104 1.105 1.106 1.107 1.108 1.109 1.110 1.111 1.112 1.113 1.114 1.115 1.116 1.117 1.118 1.119 1.120 1.121 1.122 1.123 1.124 1.125 1.126 1.127 1.128 1.129 1.130 1.131 1.132 1.133 1.134 1.135 1.136 1.137 1.138 1.139 1.140 1.141 1.142 1.143 1.144 1.145 1.146 1.147 1.148 1.149 1.150 1.151 1.152 1.153 1.154 1.155 1.156 1.157 1.158 1.159 1.160 1.161 1.162 1.163 1.164 1.165 1.166 1.167 1.168 1.169 1.170 1.171 1.172 1.173 1.174 1.175 1.176 1.177 1.178 1.179 1.180 1.181 1.182 1.183 1.184 1.185 1.186 1.187 1.188 1.189 1.190 1.191 1.192 1.193 1.194 1.195 1.196 1.197 1.198 1.199 1.200 1.201 1.202 1.203 "SEMI World Fab Forecast 2013". http://www.semi.org/en/sites/semi.org/files/docs/SEMI_World_Fab_Forecast_SAMPLE_2013_2.xlsx. 
  2. 2.0 2.1 "Fab Information". http://www.umc.com/English/class_300/c.asp. 
  3. "Mie Plant – Fujitsu Global". http://www.fujitsu.com/global/about/corporate/locations/worldlocation/japan/about_Mie.html. 
  4. 4.0 4.1 "Fujitsu says sayonara to semiconductor biz, thousands of staff". https://www.theregister.co.uk/2013/02/07/fujitsu_chip_spinoff_q3_financials/. 
  5. LaPedus, Mark (2009-09-30). "Analysis: TI fab ramp puts analog rivals on notice". https://www.eetimes.com/analysis-ti-fab-ramp-puts-analog-rivals-on-notice/. 
  6. Cataldo, Anthony (1999-04-01). "TI to shutter older fab in Japan". https://www.eetimes.com/ti-to-shutter-older-fab-in-japan/. 
  7. "Texas Instruments: Japan Factory Suffers "Substantial Damage"". https://www.forbes.com/sites/ericsavitz/2011/03/14/texas-instruments-japan-factory-suffers-substantial-damage/. 
  8. "TI Expands Analog Production Capacity with Acquisition of Wafer Manufacturing Plant in Japan – News". https://eepower.com/news/ti-expands-analog-production-capacity-with-acquisition-of-wafer-manufacturing-plant-in-japan/. 
  9. "TI at a glance | Manufacturing | TI.com". https://www.ti.com/about-ti/company/ti-at-a-glance/manufacturing.html. 
  10. "Texas Instruments to acquire Micron chip plant in Lehi for $900 million". 30 June 2021. https://www.deseret.com/utah/2021/6/30/22558088/texas-instruments-buys-leh-utah-micron-technology-semiconductor-fab-plant-1-5-billion. 
  11. Clarke, Peter (December 15, 2022). "Texas Instruments' Lehi wafer fab starts production". https://www.eenewseurope.com/en/texas-instruments-lehi-wafer-fab-starts-production/. 
  12. "TI at a glance | Manufacturing | Richardson | TI.com". https://www.ti.com/about-ti/company/ti-at-a-glance/manufacturing/richardson.html. 
  13. McGrath, Dylan (2011-06-30). "Photo gallery: Inside TI’s RFAB". https://www.eetimes.com/photo-gallery-inside-tis-rfab/. 
  14. "(PRN) Texas Instruments DMOS6 Receives 'Top Fab of the Year' Honor from Semiconductor International". December 2006. https://www.chron.com/news/article/PRN-Texas-Instruments-DMOS6-Receives-Top-Fab-1560364.php. 
  15. "TI's 200mm-Fab in Freising: Ein Tag im Leben eines Fab-Managers". https://www.elektroniknet.de/karriere/arbeitswelt/ein-tag-im-leben-eines-fab-managers.98496.html. 
  16. "Texas Instruments will expand in Chengdu, China (Updated stock price)". 7 June 2013. https://www.dallasnews.com/business/2013/06/07/texas-instruments-will-expand-in-chengdu-china-updated-stock-price/. 
  17. 17.0 17.1 17.2 17.3 "Is China ready for a memory chip fab? – EE Times Asia" (in en-PH). https://www.eetasia.com/news/article/is-china-ready-for-a-memory-chip-fab. 
  18. 18.00 18.01 18.02 18.03 18.04 18.05 18.06 18.07 18.08 18.09 18.10 18.11 18.12 "Much Ado About China's Big IC Surge; EE Times". 2017-06-22. http://www.eetimes.com/document.asp?doc_id=1331928&page_number=11. 
  19. 19.0 19.1 "3D NAND Fab Seen as Milestone for China | EE Times". https://www.eetimes.com/document.asp?doc_id=1329267. 
  20. "Overview - 长鑫存储技术有限公司". https://www.cxmt.com/en/about-us/over-view/. 
  21. "China set to produce first locally designed DRAM chip". https://asia.nikkei.com/Economy/Trade-war/China-set-to-produce-first-locally-designed-DRAM-chip. 
  22. Yoshida, Junko (2019-12-03). "ChangXin Emerging as China’s First & Only DRAM Maker". https://www.eetimes.com/changxin-emerging-as-chinas-first-only-dram-maker/. 
  23. 23.0 23.1 23.2 23.3 23.4 23.5 23.6 "SMIC – Fab Information". http://www.smics.com/eng/foundry/fab_info.php. 
  24. "SMIC Reports Unaudited Results for the Three Months Ended December 31, 2019". SMIC. February 13, 2020. https://www.smics.com/uploads/2019%20Q4%20Earnings%20Release_ENG_Final.pdf. 
  25. 25.0 25.1 "SMIC: Advanced Process Technologies and Gov't Funding". https://www.eetimes.com/smic-advanced-process-technologies-and-govt-funding/. 
  26. "Chinese semiconductor maker SMIC plans US$3.59 billion Beijing plant". South China Morning Post. http://www.scmp.com/business/china-business/article/1252888/chinese-semiconductor-maker-smic-plans-us359-billion-beijing. 
  27. "SMIC Reports 2018 Fourth Quarter Results". SMIC. February 14, 2019. https://www.smics.com/uploads/2018%20Q4%20Earnings%20Release_ENG_News%20Release.pdf. 
  28. "SMIC builds $7.7 billion fab in Beijing, first phase expected to be completed by 2024". 23 February 2021. https://cntechpost.com/2021/02/23/smic-builds-7-7-billion-fab-in-beijing-expected-to-be-completed-by-2024/. 
  29. "China's SMIC to invest $8.87 BLN for new chip plant in Shanghai". Reuters. 3 September 2021. https://www.reuters.com/technology/chinas-smic-invest-887-bln-new-chip-plant-shanghai-2021-09-03/. 
  30. "SMIC plans to build new 28nm wafer fab in Shenzhen". 18 March 2021. https://cntechpost.com/2021/03/18/smic-plans-to-build-new-28nm-wafer-fab-in-shenzhen/. 
  31. "Chinese chip foundry SMIC to invest $7.5 BLN in new fab in Tianjin". Reuters. 27 August 2022. https://www.reuters.com/technology/chinese-chip-foundry-smic-invest-75-bln-tianjin-2022-08-26/. 
  32. "LFoundry: New Frontiers, New Opportunities". Applied Materials. 2014-04-01. http://www.appliedmaterials.com/nanochip/nanochip-fab-solutions/april-2014/lfoundry-new-frontiers-new-opportunities. 
  33. "Nanya to spend over $800M on DRAM fab | EE Times". https://www.eetimes.com/document.asp?doc_id=1184665. 
  34. "Google Maps". https://www.google.com/maps/place/Nanya+Technology+Corporation+Triple-A+factory/@25.0482218,121.4129191,715m/data=!3m2!1e3!4b1!4m15!1m9!2m8!1sHotels!3m6!1sHotels!2s25.047524799999998,+121.4144029!3s0x3442a7ba4d481fe9:0x741011190e98afac!4m2!1d121.4144029!2d25.0475248!3m4!1s0x3442a7ba4cdc114f:0x672c8dbf10a1100f!8m2!3d25.0482216!4d121.4151075. 
  35. "Contact Us". http://www.nanya.com/en/About/7/Contact%20Us. 
  36. "Taiwan's Nanya Technology to invest $1.85 bln to boost memory chip out". Reuters. 2017-08-01. https://www.reuters.com/article/nanya-technology-investment/taiwans-nanya-technology-to-invest-1-85-bln-to-boost-memory-chip-output-idUSL4N1KN2TZ. 
  37. "Corporate Milestone". https://www.nanya.com/en/About/27/Corporate%20Milestone. 
  38. "Nanya to invest NT$300bn for new 12-inch fab – Taipei Times". April 21, 2021. https://www.taipeitimes.com/News/front/archives/2021/04/21/2003756070. 
  39. 39.0 39.1 39.2 Andrew Mierau. "Memory and Storage Solutions". http://www.micron.com. 
  40. "Micron Singapore. – Singapore – Electronics Company". Facebook. https://www.facebook.com/pages/Micron-Fab7/383085735087564. 
  41. "Intel, Micron open US$3 billion NAND flash facility in Singapore". DigiTimes. 2011-04-11. http://www.digitimes.com/news/a20110421PD211.html. 
  42. "Security Check Required". Facebook. https://www.facebook.com/pages/Micron-Fab-10/181996838579492. 
  43. Shilov, Anton. "Micron Starts Construction of Its Third NAND Fab in Singapore". https://www.anandtech.com/show/12622/micron-starts-construction-of-third-nand-fab. 
  44. "Micron Technology Completes Acquisition of Inotera Memories of Taiwan (NASDAQ:MU)". http://investors.micron.com/releasedetail.cfm?ReleaseID=1002797. 
  45. "Industry Insights". https://yolegroup.com/semiconductor-news/. 
  46. "Micron Semiconductor Asia Pte. Ltd. – Singapore – Commercial & Industrial". Facebook. https://www.facebook.com/pages/Micron-Fab-13/396319960422885. 
  47. 47.0 47.1 47.2 47.3 47.4 "Taichung". https://www.micron.com/about/locations/Taiwan/Taichung. 
  48. "Inotera memories". 2015-04-27. http://www.inotera.com:80/English/About_Inotera/Contact_Us. 
  49. 49.00 49.01 49.02 49.03 49.04 49.05 49.06 49.07 49.08 49.09 49.10 49.11 49.12 49.13 49.14 49.15 49.16 49.17 49.18 49.19 49.20 49.21 49.22 "Intel Global Manufacturing Facts". http://download.intel.com/newsroom/kits/22nm/pdfs/Global-Intel-Manufacturing_FactSheet.pdf. 
  50. 50.00 50.01 50.02 50.03 50.04 50.05 50.06 50.07 50.08 50.09 50.10 50.11 "Moore's Law Around the World, in Bricks and Mortar". 2010-10-21. http://newsroom.intel.com/community/intel_newsroom/free_press/blog/2010/10/21/moores-law-around-the-world-in-bricks-and-mortar/. 
  51. "Intel Announces Multi-Billion-Dollar Investment in Next-Generation Manufacturing in U.S.". http://newsroom.intel.com/community/intel_newsroom/blog/2010/10/19/intel-announces-multi-billion-dollar-investment-in-next-generation-manufacturing-in-us. 
  52. Pallatto, John (25 October 2007). "Intels $3 Billion Fab Now Open for Business". http://www.eweek.com/c/a/IT-Infrastructure/Intels-3-Billion-Fab-Now-Open-for-Business/. 
  53. "Intel to Invest More than $5 Billion to Build New Factory in Arizona". http://newsroom.intel.com/community/intel_newsroom/blog/2011/02/18/intel-to-invest-more-than-5-billion-to-build-new-factory-in-arizona. 
  54. Swartz, Jon (2011-03-29). "Intel's new $5 billion plant in Arizona has Obama's blessing". https://www.usatoday.com/tech/news/2011-03-28-intel-manufacturing.htm. 
  55. "Intel will invest $7 billion to finish a factory it started in 2011". 8 February 2017. https://arstechnica.com/information-technology/2017/02/intel-will-invest-7-billion-to-finish-a-factory-it-started-in-2011/. 
  56. "Intel and Trump Announce $7B for Fab 42 Targeting 7nm". 2017-02-08. https://www.hpcwire.com/2017/02/08/intel-trump-announce-7b-fab-42-targeting-7nm/. 
  57. 57.0 57.1 57.2 57.3 "Intel CEO Pat Gelsinger Announces IDM 2.0 Strategy for Manufacturing, Innovation and Product Leadership". https://newsroom.intel.com/news-releases/idm-manufacturing-innovation-product-leadership/. 
  58. McGregor, Jim (2021-03-23). "Intel Invests $20 Billion In 2 New Arizona Fabs". https://www.forbes.com/sites/tiriasresearch/2021/03/23/intel-invests-20-billion-in-2-new-arizona-fabs/?sh=1d56aaf043eb. 
  59. 59.0 59.1 "Intel in Israel: A Old Relationship Faces New Criticism". 2014-09-29. http://knowledge.wharton.upenn.edu/article/intel-israel-old-relationship-faces-new-criticism/. 
  60. 60.0 60.1 "Intel Israel Fab Tour – The First Official Intel Press Event in Israel". http://ixbtlabs.com/articles2/cm/intel-israel-dec2k5.html. 
  61. "INTEL Ireland Fab 24 NOW Recruiting – CareersPortal.ie". http://www.careersportal.ie/news/news.php?Heading=INTEL+Ireland+Fab+24+NOW+Recruiting&ID=10031501#.ViX7rZqrTIUy-at-yokkaichi,-japan. 
  62. "How do you turn sand into a processor? We entered the clean room at Intel's manufacturing plant". September 30, 2022. https://time.news/how-do-you-turn-sand-into-a-processor-we-entered-the-clean-room-at-intels-manufacturing-plant/. 
  63. Rashid, Fahmida Y. (2010-10-26). "Intel Opens $2.5 Billion Fab Plant in China". https://www.eweek.com/networking/intel-opens-2.5-billion-fab-plant-in-china/. 
  64. "Intel in Dalian, China". http://www.intel.com/content/www/us/en/jobs/locations/china/sites/dalian.html. 
  65. "TowerJazz Completes Acquisition of Maxim's Fabrication Facility in San Antonio, Texas". 2016-02-02. http://www.towerjazz.com/prs/2016/pdf/TowerJazz-20160202-SAFab-acquisition.pdf. 
  66. "Manufacturing at Tower Semiconductor". 18 January 2018. http://towerjazz.com/manufacturing.html. 
  67. 67.0 67.1 67.2 67.3 67.4 67.5 "Manufacturing at Tower Semiconductor". http://towersemi.com/manufacturing/manufacturing-overview/. 
  68. 68.0 68.1 68.2 "Manufacturing Facilities – Tower Panasonic Semiconductor Co". Tpsemico.com. https://tpsemico.com/manufacturing-facilities/. 
  69. 69.0 69.1 "About Foundry Service – Nuvoton". http://www.nuvoton.com/hq/foundry-service/about-us/?__locale=en. 
  70. "Semi-Conductor Laboratory (SCL)". Department of Space, Government of India. http://scl.gov.in/. 
  71. 71.0 71.1 71.2 "About Us | Defence Research and Development Organisation – DRDO, Ministry of Defence, Government of India". https://www.drdo.gov.in/labs-establishment/about-us/society-integrated-circuit-technology-and-applied-research-sitar. 
  72. 72.0 72.1 72.2 72.3 "Sitar.org.in". Sitar.org.in. http://www.sitar.org.in/starc/index.html. 
  73. 73.0 73.1 "Gallium Arsenide Enabling Technology Centre". http://www.gaetec.org/. 
  74. 74.0 74.1 74.2 74.3 "Locations – Qorvo". https://www.qorvo.com/about-us/locations. 
  75. "Worldwide Locations – Maxim". 2016-08-22. http://www.maximintegrated.com/en/company/locations/north-america/us-west/#ca-sanjose-x3/. 
  76. "Apple buys former Maxim chip fab in North San Jose, neighboring Samsung Semiconductor". http://appleinsider.com/articles/15/12/14/apple-buys-former-maxim-chip-fab-in-north-san-jose-neighboring-samsung-semiconductor-. 
  77. "Worldwide Locations – Maxim". 2016-08-22. http://www.maximintegrated.com/en/company/locations/north-america/us-west/#or-beaverton/. 
  78. Clarke, Peter (January 17, 2023). "Analog Devices spending $1 billion on fab upgrade". https://www.eenewseurope.com/en/analog-devices-spending-1-billion-on-fab-upgrade/. 
  79. 79.00 79.01 79.02 79.03 79.04 79.05 79.06 79.07 79.08 79.09 79.10 79.11 79.12 "Rohm Buys Renesas Wafer Fab". EE Times. http://www.eetimes.com/document.asp?doc_id=1326703. 
  80. "Oki Semiconductor Distributor". https://www.mouser.com/okisemi/. 
  81. 81.00 81.01 81.02 81.03 81.04 81.05 81.06 81.07 81.08 81.09 81.10 81.11 81.12 LTD., LAPIS Semiconductor CO.. "History | Company | LAPIS Semiconductor" (in en). http://www.lapis-semi.com/en/company/history.htm. 
  82. 82.0 82.1 "Kionix, Inc., Company Profile – global". http://www.kionix.com/about-kionix/company-profile. 
  83. 83.00 83.01 83.02 83.03 83.04 83.05 83.06 83.07 83.08 83.09 83.10 83.11 83.12 83.13 Fletcher, A. (2013-10-22) (in en). Profile of the Worldwide Semiconductor Industry – Market Prospects to 1997: Market Prospects to 1997. Elsevier. ISBN 9781483284859. https://books.google.com/books?id=g_IgBQAAQBAJ&q=oki+wafer+fab&pg=PA465. 
  84. 84.00 84.01 84.02 84.03 84.04 84.05 84.06 84.07 84.08 84.09 84.10 84.11 84.12 84.13 84.14 "Smithsonian Chips". 14 August 2023. https://smithsonianchips.si.edu/ice/cd/PROF96/JAPAN.PDF. 
  85. "Japan earthquakes close Oki wafer fab". EE Times. https://www.eetimes.com/document.asp?doc_id=1190018. 
  86. "大町工場 | 富士電機パワーセミコンダクタ株式会社". http://www.fujielectric.co.jp/fps/f_omachi.html. 
  87. "飯山工場 | 富士電機パワーセミコンダクタ株式会社". http://www.fujielectric.co.jp/fps/f_iiyama.html. 
  88. "北陸工場 | 富士電機パワーセミコンダクタ株式会社". http://www.fujielectric.co.jp/fps/f_hokuriku.html. 
  89. "本社 | 富士電機パワーセミコンダクタ株式会社". http://www.fujielectric.co.jp/fps/f_main.html. 
  90. 90.0 90.1 "History of Fujitsu's Semiconductor Business : FUJITSU SEMICONDUCTOR". http://www.fujitsu.com/jp/group/fsl/en/business/semiconductor/history/index.html. 
  91. 91.0 91.1 91.2 "Odds of Success of Mie Fujitsu, Japan's Pure-Play Foundry". EE Times. http://www.eetimes.com/document.asp?doc_id=1326373. 
  92. 92.0 92.1 92.2 "MIE FUJITSU SEMICONDUCTOR LIMITED". http://www.fujitsu.com/jp/group/mifs/en/. 
  93. 93.0 93.1 93.2 "Fujitsu to Construct New Fab for Logic Chips Employing 65nm Process Technology and 300mm Wafers – Fujitsu United States". http://www.fujitsu.com/us/news/pr/fma_20060111-2.html. 
  94. 94.0 94.1 94.2 "Japan Plants – Fujitsu Global". http://www.fujitsu.com/global/worldwide/japan/index_plants.html. 
  95. "Fujitsu invests in 65nm fab at Mie". 11 January 2006. https://www.electronicsweekly.com/news/business/manufacturing/fujitsu-invests-in-65nm-fab-at-mie-2006-01/. 
  96. "Odds of Success of Mie Fujitsu, Japan's Pure-Play Foundry". EE Times. http://www.eetimes.com/document.asp?doc_id=1326373&page_number=2. 
  97. "Odds of Success of Mie Fujitsu, Japan's Pure-Play Foundry". EE Times. http://www.eetimes.com/document.asp?doc_id=1326373&page_number=3. 
  98. "Suzaka Plant – Fujitsu Global". http://www.fujitsu.com/global/about/corporate/locations/worldlocation/japan/about_Suzaka.html. 
  99. "Iwate Plant – Fujitsu Global". http://www.fujitsu.com/global/about/corporate/locations/worldlocation/japan/about_Iwate.html. 
  100. "History : FUJITSU SEMICONDUCTOR". http://www.fujitsu.com/jp/group/fsl/en/about/history/index.html. 
  101. "DENSO Global Website". http://denso-europe.com/denso-to-build-a-new-plant-at-denso-iwate-location/. 
  102. "DENSO Iwate to Build a New Plant and Expand Production as Part of Efforts to Enhance the DENSO Group Production System – News – DENSO Global Website". https://www.denso.com/global/en/news/news-releases/2017/20170329-g01/. 
  103. "Denso to expand Iwate plant to produce instrument clusters". 29 March 2017. https://www.just-auto.com/news/denso-to-expand-iwate-plant-to-produce-instrument-clusters_id175718.aspx. 
  104. 104.0 104.1 "Canon Inc. Operations – Canon Global". http://global.canon/en/corporate/information/location.html. 
  105. "Canon wants its image sensors in others' cars, robots". https://asia.nikkei.com/Business/Companies/Canon-wants-its-image-sensors-in-others-cars-robots. 
  106. CORPORATION, SHARP. "環境に配慮したモノづくり 工場からエコ". http://www.sharp.co.jp/corporate/eco/sgf/site_report/fukuyama/index.html. 
  107. 107.0 107.1 "About us – Company Data – JAPAN SEMICONDUCTOR CORPORATION". http://www.jsemicon.co.jp/eng/company/about.htm. 
  108. "Toshiba : Press Release (9 Feb, 2017): Toshiba Starts Construction of Fab 6 and Memory R&D Center at Yokkaichi, Japan". https://www.toshiba.co.jp/about/press/2017_02/pr0901.htm. 
  109. 109.0 109.1 109.2 "Data". http://www.toshiba.co.jp/about/ir/en/news/20170803_1.pdf. 
  110. "Yokkaichi". https://thememoryguy.com/tag/yokkaichi/. 
  111. "Did Toshiba REALLY Lose 3–6 Weeks' Production?". 17 October 2017. https://thememoryguy.com/did-toshiba-really-lose-3-6-weeks-production/. 
  112. "Ransomware attack on Toshiba means 400,000TB of SSD storage is missing". 16 October 2017. https://www.pcgamesn.com/toshiba-ssd-ransomware. 
  113. "Toshiba reportedly suspends NAND flash production in Japan". 16 October 2017. http://www.digitimes.com/news/a20171016PD205.html. 
  114. 114.0 114.1 "Press Release (12 Jul, 2011): Toshiba and SanDisk Celebrate the Opening of Fab 5 300mm NAND Flash Memory Fabrication Facility in Japan". 2011-07-12. http://www.toshiba.co.jp/about/press/2011_07/pr1201.htm. 
  115. "Toshiba and SanDisk Celebrate the Opening of the Second Phase of Fab 5 and Start Construction of the New Fab 2 Semiconductor Fabrication Facility at Yokkaichi, Japan". https://www.sandisk.com/about/media-center/press-releases/2014/toshiba-and-sandisk-celebrate-the-opening-of-the-second-phase-of-fab-5-and-start-construction-of-the-new-fab-2-semiconductor-fabrication-facility-at-yokkaichi,-japan. 
  116. "Toshiba : Press Releases 13 April, 2004". http://www.toshiba.co.jp/about/press/2004_04/pr1301.htm. 
  117. "Toshiba : Press Releases 04 September, 2007". http://www.toshiba.co.jp/about/press/2007_09/pr0401.htm. 
  118. "Toshiba : Press Releases 31 May, 2006". http://www.toshiba.co.jp/about/press/2006_05/pr3101.htm. 
  119. "Toshiba : Press Releases 2 February, 2004". http://www.toshiba.co.jp/about/press/2004_02/pr0201.htm. 
  120. 120.0 120.1 120.2 120.3 "Toshiba : Press Release (9 Feb, 2017): Toshiba Starts Construction of Fab 6 and Memory R&D Center at Yokkaichi, Japan". http://www.toshiba.co.jp/about/press/2017_02/pr0901.htm. 
  121. 121.0 121.1 121.2 "Information". http://www.toshiba.co.jp/about/ir/en/news/20170628_3.pdf. 
  122. "Toshiba : Press Release (8 Nov, 2016): Toshiba to Expand 3D Flash Memory Production Capacity by Building New Fabrication Facility at Yokkaichi". http://www.toshiba.co.jp/about/press/2016_11/pr0801.htm. 
  123. 123.0 123.1 "Toshiba looks to build new Yokkaichi chip plant without partner Western Digital, further fueling feud". Japan Times Online. 4 August 2017. https://www.japantimes.co.jp/news/2017/08/04/business/corporate-business/toshiba-looks-build-new-yokkaichi-chip-plant-without-partner-western-digital-fueling-feud/. 
  124. "Western Digital to invest $4.6bn in joint venture with Toshiba". https://asia.nikkei.com/Editor-s-Picks/Japan-Update/Western-Digital-to-invest-4.6bn-in-joint-venture-with-Toshiba. 
  125. "Toshiba to invest extra ¥110 billion in Yokkaichi plant amid row with Western Digital | the Japan Times". https://www.japantimes.co.jp/news/2017/10/12/business/corporate-business/toshiba-invest-extra-%C2%A5110-billion-yokkaichi-plant-amid-row-western-digital/#.W2YBitJKjIU. 
  126. "Toshiba : Press Release (15 Jul, 2016): Toshiba and Western Digital Celebrate the Opening of New Fab 2 Semiconductor Fabrication Facility in Yokkaichi, Japan". https://www.toshiba.co.jp/about/press/2016_07/pr1501.htm. 
  127. "Toshiba and Western Digital Celebrate the Opening of New Fab 2 Semiconductor Fabrication Facility in Yokkaichi, Japan". 15 July 2016. http://www.businesswire.com/news/home/20160715005186/en/Toshiba-Western-Digital-Celebrate-Opening-New-Fab. 
  128. Shilov, Anton. "Toshiba Memory to Build New Fab to Produce BiCS 3D NAND". https://www.anandtech.com/show/12812/toshiba-memory-to-build-new-fab-to-produce-bics-3d-nand. 
  129. Shilov, Anton. "Toshiba Begins to Construct New BiCS 3D NAND Fab in Iwate Prefecture". https://www.anandtech.com/show/13114/toshiba-begins-to-construct-new-bics-3d-nand-fab-in-iwate-prefecture. 
  130. Shilov, Anton. "Toshiba to Build New Fab to Produce BiCS NAND Flash". https://www.anandtech.com/show/10184/toshiba-to-build-a-new-fab-to-produce-bics-nand-flash. 
  131. Shilov, Anton. "Toshiba Memory & Western Digital Finalize Fab K1 Investment Agreement". https://www.anandtech.com/show/14359/toshiba-memory-western-digital-finalize-fab-k1-investment-agreement. 
  132. "Western Digital Process Technician Job in Fremont, CA | Glassdoor" (in en). https://www.glassdoor.com/job-listing/process-technician-western-digital-JV_IC1147355_KO0,18_KE19,34.htm?jl=2501961405&ctt=1519099374509. 
  133. "Vacature voor een functie als Process Technician bij Western Digital …". archive.is. 2018-02-20. https://www.glassdoor.nl/job-listing/process-technician-western-digital-JV_IC1147355_KO0,18_KE19,34.htm?jl=2501961405&ctt=1519099374509&countryRedirect=true. 
  134. 134.0 134.1 134.2 Ltd., Hitachi Power Semiconductor Device. "Company Office : Hitachi Power Semiconductor Device, Ltd.". http://www.hitachi-power-semiconductor-device.co.jp/en/company/access/index.html. 
  135. 135.0 135.1 "ABB inaugurates new semiconductor manufacturing unit in Switzerland". http://www.abb.com/cawp/seitp202/afc715ad6054256fc12577150041249b.aspx. 
  136. "abb-inaugurates-new-semiconductor-manufacturing-unit-in-switzerland". https://new.abb.com/news/detail/13335/abb-inaugurates-new-semiconductor-manufacturing-unit-in-switzerland. 
  137. "Clean Room HVAC for ABB semiconductors plant in Czech Republic". https://new.abb.com/plc/ja/references/by-date/clean-room-hvac-for-semiconductors-plant-in-czech-republic. 
  138. 138.0 138.1 "MITSUBISHI ELECTRIC Global website". http://www.mitsubishielectric.com/company/environment/business/semiconductor/index.html. 
  139. 139.0 139.1 "三菱電機 三菱電機について 拠点情報". http://www.mitsubishielectric.co.jp/corporate/gaiyo/network/randd/#area=09. 
  140. 140.0 140.1 140.2 "三菱電機 三菱電機について 拠点情報". http://www.mitsubishielectric.co.jp/corporate/gaiyo/network/randd/#area=06. 
  141. "Products & Services". http://www.psc.com.tw/english/product/product_2.html. 
  142. 142.0 142.1 142.2 力晶科技股份有限公司. "About Powerchip". http://www.powerchip.com/en.php?node=about#contact-us. 
  143. 143.0 143.1 143.2 力晶科技股份有限公司. "Technologies & Services". http://www.powerchip.com/en.php?node=services#manufacturing. 
  144. "ProMOS Goes for 70nm DRAM". SOFTPEDIA. 2007-08-13. http://news.softpedia.com/news/ProMOS-Goes-For-70nm-DRAM-62565.shtml. 
  145. "Record fab construction reached in second quarter, says report". EE Times. 2004-07-02. http://www.eetimes.com/electronics-news/4049430/Record-fab-construction-reached-in-second-quarter-says-report. 
  146. 146.0 146.1 146.2 "Macronix – Company Overview". http://www.macronix.com/en-us/about/Pages/company-overview.aspx. 
  147. "Macronix to expand its advanced chip capacity". Taipei Times. 2021-07-28. https://www.taipeitimes.com/News/biz/archives/2021/07/28/2003761567. 
  148. 148.0 148.1 148.2 148.3 148.4 148.5 148.6 https://smithsonianchips.si.edu/ice/cd/PROF96/ROW.PDF
  149. 149.0 149.1 149.2 149.3 149.4 149.5 149.6 149.7 "Global Operations". https://www.renesas.com/kr/en/about/company/profile/global/rsmc.html. 
  150. "Panasonic and Renesas Start Operation of New Development Line for Leading-Edge SoC Process Technologies at the Renesas Naka Site | Press Release". https://news.panasonic.com/global/press/en090930-2. 
  151. 151.0 151.1 151.2 "TSMC's huge Fab 6 cranks out 8-inch wafers, but sets 300-mm pace". EE Times. http://www.eetimes.com/document.asp?doc_id=1183723. 
  152. 152.0 152.1 "TSMC to acquire WSMC foundry". EE Times. http://www.eetimes.com/document.asp?doc_id=1183222. 
  153. 153.0 153.1 "IDT to Close Salinas Wafer Fab, Cut 260 Jobs". EDN. https://www.edn.com/electronics-news/4349619/IDT-to-Close-Salinas-Wafer-Fab-Cut-260-Jobsv. 
  154. Commission, United States International Trade (17 July 1992). "DRAMs of one megabit and above from the Republic of Korea: determination of the Commission in investigation no. 731-TA-556 (preliminary) under the Tariff Act of 1930, together with the information obtained in the investigation". The Commission. https://books.google.com/books?id=76K1AAAAIAAJ&q=oki+wafer+fab&pg=SL1-PA10. 
  155. Anderson, Mark. "Telefunken no more: Company changes name to TSI Semiconductors". Sacramento Business Journal. http://www.bizjournals.com/sacramento/news/2013/04/12/tsi-semiconductors-telefunken-roseville.html?page=all. 
  156. "Renesas sells U.S. fab to Telefunken". EE Times. 2011-03-30. http://www.eetimes.com/electronics-news/4214643/Renesas-sells-U-S--fab-to-Telefunkenz. 
  157. "Micronas Builds Second Fab Module to Meet Market Demands (0007) - micronas.com". https://www.micronas.com/en/news-events/trade-news/micronas-builds-second-fab-module-meet-market-demands-0007. 
  158. "Company - micronas.com". https://www.micronas.com/en/company. 
  159. "Renesas to Transfer 5-inch Wafer Fab to TDK | Electronics360". http://electronics360.globalspec.com/article/6025/renesas-to-transfer-5-inch-wafer-fab-to-tdk. 
  160. "TDK and Renesas Electronics Sign Basic Agreement on Transfer of Renesas Electronics Subsidiary's Tsuruoka Factory | Press Releases | TDK" (in en). http://www.global.tdk.com/corp/en/news_center/press/201511302083.htm. 
  161. 161.0 161.1 161.2 161.3 161.4 161.5 161.6 161.7 161.8 "Fab Capacity Increasing through Acquisition of Legacy Semiconductor Facilities - SEMI.ORG". http://www.semi.org/en/fab-capacity-increasing-through-acquisition-legacy-semiconductor-facilities-new-business-0. 
  162. "TDK HDD Head Wafer Fab Upgrades to Version 5.6 of FabTime Software, Renews Maintenance Contract" (in en). https://www.businesswire.com/news/home/20031124005693/en/TDK-HDD-Head-Wafer-Fab-Upgrades-Version. 
  163. "Tronics opens MEMS wafer fab in Texas" (in en). EETE Analog. 2017-05-01. http://www.eenewsanalog.com/news/tronics-opens-mems-wafer-fab-texas-0. 
  164. "Peregrine Semi and OKI Achieve Record UltraCMOS™ RFIC Output – pSemi". 5 June 2007. http://www.psemi.com/newsroom/press-releases/973742-peregrine-semi-and-oki-achieve-record-ultracmos-rfic-output. 
  165. 165.0 165.1 165.2 "金沢村田製作所 新生産棟竣工式について – 村田製作所". http://www.murata.com/ja-jp/about/newsroom/news/company/general/2016/1006. 
  166. 166.0 166.1 "会社概要 – 金沢村田製作所". http://www.murata.com/ja-jp/group/kanazawamurata/corporate/overview?intcid5=com_xxx_xxx_cmn_nv_xxx. 
  167. "仙台工場 – 金沢村田製作所". http://www.murata.com/ja-jp/group/kanazawamurata/sendai?intcid5=com_xxx_xxx_cmn_nv_xxx. 
  168. "製品情報 – 金沢村田製作所". http://www.murata.com/ja-jp/group/kanazawamurata/products. 
  169. "Murata Manufacturing Company, Ltd. Yasu Division – Murata Manufacturing Co., Ltd.". http://www.murata.com/en-eu/about/company/muratalocations/japan/yasu/overview. 
  170. "Murata Finland | Join the Innovators in Electronics!". https://muratafinland.com/?lang=en. 
  171. "Murata | Uusi Vantaankoski -ideakilpailu". https://www.uusivantaankoski.fi/10619. 
  172. "Murata ackquires VTI Technologies". 21 November 2012. https://helsinkibusinesshub.fi/japanese-murata-lands-in-helsinki/. 
  173. "Murata factory expansion, Vantaa". https://www.ncc.com/our-projects/murata/. 
  174. "Murata Invests in MEMS Sensor Manufacturing in Finland | Business Wire". https://www.sttinfo.fi/tiedote/murata-invests-in-mems-sensor-manufacturing-in-finland?publisherId=58763726&releaseId=69264055. 
  175. 175.0 175.1 "mitsumi web". http://www.mitsumi.co.jp/profile/history_e.html. 
  176. 176.0 176.1 176.2 176.3 176.4 176.5 "生産拠点一覧|会社案内|ソニーセミコンダクタマニュファクチャリング株式会社". https://www.sony-semiconductor.co.jp/company/kyoten. 
  177. "Status of Sony Group Manufacturing Operations Affected by the East Japan Earthquake, Tsunami and Related Power Outages". https://www.sony.net/SonyInfo/News/Press/201104/11-0406E/. 
  178. "Sony Establishes Yamagata Technology Center to Increase Production Capacity for CMOS Image Sensors". https://www.sony.net/SonyInfo/News/Press/201401/14-016E/. 
  179. "Nintendo and the Wii U May Be in Trouble due to Closure of Vital Semiconductor Factory". 4 August 2013. http://www.dualshockers.com/nintendo-and-the-wii-u-may-be-in-trouble-due-to-closure-of-vital-semiconductor-factory/. 
  180. 180.0 180.1 "Global Network < ABOUT US < SK hynix". http://www.skhynix.com/eng/about/global.jsp. 
  181. "History < ABOUT US < SK hynix". http://www.skhynix.com/eng/about/history2010.jsp. 
  182. 182.0 182.1 182.2 "SK hynix Inc. to Construct a Cutting Edge NAND Flash FAB in Cheongju". SK Hynix (Press release). December 22, 2016.
  183. 183.0 183.1 Shilov, Anton. "SK Hynix to Build a New NAND Fab, Upgrade Existing DRAM Fab". https://www.anandtech.com/show/10933/sk-hynix-to-build-a-new-nand-fab-and-upgrade-dram-fab. 
  184. "Korea – Global Network – Company | LG Innotek". http://www.lginnotek.com/company/location_korea.jsp. 
  185. "ON Semiconductor and GLOBALFOUNDRIES Partner to Transfer Ownership of East Fishkill, NY 300mm Facility". April 22, 2019. https://www.globalfoundries.com/news-events/press-releases/semiconductor-and-globalfoundries-partner-transfer-ownership-east. 
  186. Anderson, Eric (April 22, 2019). "GlobalFoundries selling East Fishkill plant". https://www.timesunion.com/business/article/New-owner-for-GlobalFoundries-East-Fishkill-plant-13785054.php. 
  187. "Inside IBM's 300mm chip fab: Photos". https://www.zdnet.com/pictures/inside-ibms-300mm-chip-fab-photos/. 
  188. 188.0 188.1 188.2 Young, Liz (April 23, 2019). "$430 million sale of East Fishkill plant a 'win-win' for GlobalFoundries and ON Semi, analysts say". Albany Business Review. https://www.bizjournals.com/albany/news/2019/04/23/globalfoundries-on-semi-east-fishkill-analysts.html. 
  189. "Manufacturing Facility in Oregon". http://www.onsemi.com/PowerSolutions/content.do?id=15051. 
  190. "Message from the President". 17 December 2018. https://www.usjpc.com/en/about-e/message-e. 
  191. "AIZU FUJITSU SEMICONDUCTOR LIMITED". http://www.fujitsu.com/jp/group/afsl/en/. 
  192. "Aizu Wakamatsu Plant – Fujitsu Global". http://www.fujitsu.com/global/about/corporate/locations/worldlocation/japan/about_Aizu.html. 
  193. "Business : AIZU FUJITSU SEMICONDUCTOR LIMITED". http://www.fujitsu.com/jp/group/afsl/en/business/. 
  194. "Fujitsu Semiconductor starts operations of new foundry companies : FUJITSU SEMICONDUCTOR". http://www.fujitsu.com/jp/group/fsl/en/resources/news/press-releases/2014/1201-1.html. 
  195. "Foundry Services : FUJITSU SEMICONDUCTOR". https://www.fujitsu.com/jp/group/fsl/en/business/foundry/. 
  196. "Foundry Services – Fujitsu United States". https://www.fujitsu.com/us/products/devices/semiconductor/waferfab/. 
  197. "Manufacturing Facility in Japan". http://www.onsemi.com/PowerSolutions/content.do?id=17046. 
  198. "Ailing Sanyo asks employees to buy company products". Japan Times Online. 30 January 2005. https://www.japantimes.co.jp/news/2005/01/30/national/ailing-sanyo-asks-employees-to-buy-company-products/. 
  199. Clarke, Peter (February 18, 2022). "Belgian wafer fab sold to GaN startup with China connections". https://www.eenewseurope.com/en/belgian-wafer-fab-sold-to-gan-startup-with-china-connections/. 
  200. 200.0 200.1 200.2 200.3 "Smithsonian Chips". 14 August 2023. https://smithsonianchips.si.edu/ice/cd/PROF96/EUROPE.PDF. 
  201. "Design & Manufacturing Center in Idaho". http://www.onsemi.com/PowerSolutions/content.do?id=16327. 
  202. Matsumoto, Craig (10 November 1997). "New AMI fab a symbol of dramatic comeback". Electronic Engineering Times (980): 32. ProQuest 208110254. 
  203. "Diodes Incorporated Completes Acquisition of onsemi's South Portland, Maine Wafer Fabrication Facility and Operations – Diodes Incorporated". 3 June 2022. https://www.diodes.com/about/news/press-releases/diodes-incorporated-completes-acquisition-of-onsemis-south-portland-maine-wafer-fabrication-facility-and-operations/. 
  204. "Diodes Incorporated: Analog, Discrete, Logic, and Mixed-Signal ICs". http://www.diodes.com. 
  205. "Diodes Incorporated to Acquire BCD Semiconductor Manufacturing Limited – Diodes Incorporated". https://www.diodes.com/about/news/press-releases/diodes-incorporated-to-acquire-bcd-semiconductor-manufacturing-limited/. 
  206. 206.0 206.1 206.2 www.akacia.com.tw, Designed by Akacia System | 旭亞系統設計(股)公司. "Worldwide Contact – Liteon". http://optoelectronics.liteon.com/en-global/world/worldwide-contact. 
  207. 207.0 207.1 207.2 207.3 207.4 "Lite-On Semiconductor Corp. offers a series of discretes, rectifiers, analog ICs, foundry service, Contact Image Sensors, Ambient Light Sensors, Proximity Sensors, Optical Touch Panel Sensors etc". http://www.liteon-semi.com/_en/10_company/02_about.php?ID=3. 
  208. "Philips Photonics". http://www.photonics.philips.com/press-release. 
  209. "Philips plans to double size of MEMS foundry". 29 September 2016. http://www.eenewsanalog.com/news/philips-plans-double-size-mems-foundry. 
  210. "Newport Wafer Fab are the world's first CS & Silicon foundry". https://www.newportwaferfab.co.uk/. 
  211. 211.0 211.1 211.2 "Fast and agile semiconductor production at Newport Wafer Fab". https://www.newportwaferfab.co.uk/about. 
  212. "Newport Wafer Fab power technologies". https://www.newportwaferfab.co.uk/about/technology. 
  213. 213.0 213.1 "Manufacturing – Nexperia". https://www.nexperia.com/about/worldwide-locations/manufacturing.html. 
  214. "NXP in the Netherlands|NXP". https://www.nxp.com/about/about-nxp/about-nxp/worldwide-locations/nxp-in-the-netherlands:NETHERLANDS. 
  215. "NXP Semiconductors | Automotive, Security, IoT". http://www.freescale.com/webapp/sps/site/overview.jsp?nodeId=062874425629822996. 
  216. "R & D Collaboration on Trial: The Microelectronics and Computer Technology Corporation". Harvard Business School Press. 1994. ISBN 9780875843643. https://books.google.com/books?id=wzpcOAPeabkC&q=austin+motorola+mos+11+construction&pg=PA532. 
  217. "NXP Semiconductors | Automotive, Security, IoT". http://www.freescale.com/webapp/sps/site/overview.jsp?nodeId=062874425629822104. 
  218. "Manufacturing Locations | Everspin" (in en). https://www.everspin.com/manufacturing-locations. 
  219. "Motorola Restarts MOS 12 Facility Expansion". Electronic News. 1999. http://findarticles.com/p/articles/mi_m0EKF/is_23_45/ai_54841043/. 
  220. "NXP and Freescale Announce $40 Billion Merger | Freescale". http://media.freescale.com/investor-relations/press-releases/2015/03-02-2015a.aspx. 
  221. Patricia A. Wilson (2010-07-22). Exports and Local Development: Mexico's New Maquiladoras. University of Texas Press. p. 82. ISBN 9780292785571. https://books.google.com/books?id=89L8lehUzhAC&q=semiconductor+plant+guadalajara&pg=PA82. Retrieved 2017-03-22. 
  222. 222.0 222.1 222.2 222.3 222.4 "SKYWORKS : Locations". http://www.skyworksinc.com/aboutlocations.aspx. 
  223. 223.0 223.1 "WIN Semiconductors Corp. – Our Locations". http://www.winfoundry.com/en_US/location.aspx. 
  224. 224.0 224.1 "WIN Semiconductors Corp. Overview". http://www.winfoundry.com/en_US/aboutus.aspx. 
  225. "environmental sensors, light sensors, image sensors, audio sensors, optical sensors – sensing is life". 2017-03-16. http://www.ams.com. 
  226. "Osram puts €2bn R&D and plans biggest LED fab". 9 December 2015. https://www.electronicsweekly.com/blogs/led-luminaries/led-research/osram-puts-e2bn-rd-and-plans-biggest-led-fab-2015-12/. 
  227. "Osram Inaugurates New Kulim 6-inch LED Chip Fab – LEDinside". http://www.ledinside.com/news/2017/10/osram_inaugurates_new_kulim_6_inch_led_chip_fab. 
  228. Siu Han; Adam Hwang (2017-10-16). "Osram Opto Semiconductors to start production at new Malaysia plant". https://www.digitimes.com/news/a20171015PD200.html. 
  229. "Osram LED chip plant in Penang now in operation". 10 December 2009. http://www.ledsmagazine.com/articles/2009/12/osram-led-chip-plant-in-penang-now-in-operation.html. 
  230. "On an expansion course with second LED chip production plant | OSRAM Opto Semiconductors". http://www.osram-os.com/osram_os/en/press/press-releases/company-information/2009/led-chip-plant-penang/index.jsp. 
  231. "The City of Regensburg | Careers Europe | OSRAM Opto Semiconductors". https://www.osram-os.com/osram_os/en/career/careers-europe/tips--services/the-city-of-regensburg/index.jsp. 
  232. "Osram Optoelectronics Chip Factory, Regensburg – Semiconductor Technology". https://www.semiconductor-technology.com/projects/osram/. 
  233. "Winbond – Memory Product Foundry". http://www.winbond.com.tw/hq/enu/ProductAndSales/ProductLines/MemoryProductFoundryService/MemoryProductFoundry/. 
  234. "Winbond – Locations". http://www.winbond.com/hq/about-winbond/locations/index.html?__locale=en&type=1. 
  235. "CTSP Fab, Winbond Electronics Corp.". http://www.jjpan.com/en/portfolio/ctsp-fab-winbond-electronics-corp/. 
  236. "CTIMES News – Winbond to Establish Factory in Kaohsiung to Manufacture Niche Type DRAM and Flash Memory". https://en.ctimes.com.tw/DispNews.asp?O=HK19784TNNISAA00NM. 
  237. "VIS – Specialty IC Foundry of Choice". http://www.vis.com.tw/visCom/servlet/newsServlet?id=248&enable_en=Y&enable_ch=N. 
  238. 238.0 238.1 238.2 238.3 "200mm Manufacturing". http://www.globalfoundries.com/manufacturing/200mm-manufacturing. 
  239. "Fab Locations". Taiwan Semiconductor Manufacturing Company Limited. http://www.tsmc.com/english/dedicatedFoundry/manufacturing/locations.htm. 
  240. 240.0 240.1 "TSMC to close fab that started foundry movement". EE Times. http://www.eetimes.com/document.asp?doc_id=1143146. 
  241. "TSMC will buy chip venture from Acer to boost foundry capacity". EE Times. http://www.eetimes.com/document.asp?doc_id=1189323. 
  242. "TSMC takes full ownership of Acer Group foundry operations". EE Times. http://www.eetimes.com/document.asp?doc_id=1124053. 
  243. "TSMC buys out Acer fab". EE Times. http://www.eetimes.com/document.asp?doc_id=1228739. 
  244. "TSMC starts 300-mm fab construction, but shifts Fab 7 plans to 8 inch". EE Times. http://www.eetimes.com/document.asp?doc_id=1189456. 
  245. "TSMC renames two recently-acquired fabs". Electronics Weekly. 3 May 2000. https://www.electronicsweekly.com/news/archived/resources-archived/tsmc-renames-two-recently-acquired-fabs-2000-05/. 
  246. 246.0 246.1 "Taiwan Semiconductor Manufacturing Company Limited". http://www.tsmc.com/english/dedicatedFoundry/manufacturing/gigafab.htm. 
  247. "TSMC Acquires PSC Land for New Fab Construction". Taiwan Economic News. 2011-01-13. http://news.cens.com/cens/html/en/news/news_inner_35080.html. 
  248. Divide 2 million by 12, rounded
  249. "TSMC breaks ground on $9B fab complex | EE Times". https://www.eetimes.com/document.asp?doc_id=1256872. 
  250. "TSMC Breaks Ground on Fab 18 in Southern Taiwan Science Park". http://www.tsmc.com/tsmcdotcom/PRListingNewsAction.do?action=detail&language=E&newsid=THGOHITHTH. 
  251. Shilov, Anton. "TSMC Starts to Build Fab 18: 5 nm, Volume Production in Early 2020". https://www.anandtech.com/show/12377/tsmc-starts-to-build-fab-18-5nm-in-early-2020. 
  252. eTeknix.com (5 February 2018). "TSMC Starts Building Fab 18 for 5nm Production – eTeknix". https://www.eteknix.com/tsmc-building-fab-18-5nm-production/. 
  253. Discuss, Raevenlord. "TSMC to Build World's First 3 nm Fab in Taiwan". https://www.techpowerup.com/237535/tsmc-to-build-worlds-first-3-nm-fab-in-taiwan. 
  254. eTeknix.com (3 October 2017). "TSMC Wants to Build a 3 nm Fab in Taiwan – eTeknix". https://www.eteknix.com/tsmc-wants-to-build-a-3-nm-fab-in-taiwan/. 
  255. 255.0 255.1 Smith, Ryan. "TSMC To Build 5nm Fab In Arizona, Set To Come Online In 2024". https://www.anandtech.com/show/15803/tsmc-build-5nm-fab-in-arizona-for-2024. 
  256. 256.0 256.1 256.2
  257. 257.00 257.01 257.02 257.03 257.04 257.05 257.06 257.07 257.08 257.09 257.10 "Epistar- Solutions for LED lighting, LED Applications, Co-activation service". http://www.epistar.com.tw/_english/06_about/01_about.php?AID=7. 
  258. "UPDATE 1-Taiwan's TSMC exits LED lighting business with $26 mln...". https://www.reuters.com/article/tsmc-epistar/update-1-taiwans-tsmc-exits-led-lighting-business-with-26-mln-unit-sale-idUSL3N0UO3IK20150109. 
  259. "Taiwan Semiconductor Manufacturing Company Limited". http://tsmc.com/english/lighting/index.htm. 
  260. "TSMC looks at solid state lighting market". EE Times. https://www.eetimes.com/document.asp?doc_id=1172228. 
  261. 261.0 261.1 http://www.bosch-career.de/de/technikvision/download/Factsheet_WaferFab%2BReutlingen.pdf [|permanent dead link|dead link}}]
  262. "Bosch beginnt Bau neuer 300-mm-Fab in Dresden". 25 April 2018. https://www.golem.de/news/halbleiterwerk-bosch-beginnt-bau-neuer-300-mm-fab-in-dresden-1804-134046.html. 
  263. "Bosch open to making MEMS for others". 19 September 2016. http://www.eenewsanalog.com/news/bosch-open-making-mems-others. 
  264. Chieh, Hang Chang; Seng, Low Teck; Raj, Thampuran (2016-03-07). The Singapore Research Story. World Scientific. p. 120. ISBN 9789814641289. https://books.google.com/books?id=ZgfyCwAAQBAJ&q=The%20Singapore%20Research%20Story&pg=PA23. Retrieved 2017-03-22. 
  265. 265.0 265.1 265.2 "Analog/Mixed-Signal Semiconductor Foundry: Germany (Headquarters) single". http://www.xfab.com/about-x-fab/corporate-overview/locations/germany-headquarters-single/. 
  266. 266.0 266.1 266.2 "Analog/Mixed-Signal Semiconductor Foundry: Germany (Dresden) single". http://www.xfab.com/about-x-fab/corporate-overview/locations/germany-dresden-single/. 
  267. 267.0 267.1 "Analog/Mixed-Signal Semiconductor Foundry: Germany (Itzehoe) single". http://www.xfab.com/about-x-fab/corporate-overview/locations/germany-itzehoe-single/. 
  268. "Malaysian start-up signs wafer-processing agreement with Sharp". EE Times. https://www.eetimes.com/document.asp?doc_id=1120938. 
  269. "X-Fab set to buy Malaysia's 1st Silicon". EE Times. https://www.eetimes.com/document.asp?doc_id=1160197. 
  270. 270.0 270.1 270.2 "Analog/Mixed-Signal Semiconductor Foundry: Malaysia single". http://www.xfab.com/about-x-fab/corporate-overview/locations/malaysia-single/. 
  271. 271.0 271.1 271.2 "Analog/Mixed-Signal Semiconductor Foundry: USA (Texas) single". http://www.xfab.com/about-x-fab/corporate-overview/locations/usa-texas-single/. 
  272. "X-Fab to Swallow Altis Semiconductor". EE Times. http://www.eetimes.com/document.asp?doc_id=1330569. 
  273. 273.0 273.1 273.2 273.3 273.4 Website, IXYS. "Global Operations". http://ixys.com/Corporate/globalop.aspx. 
  274. "Samsung Electronics Begins Mass Production at New EUV Manufacturing Line" (in en). https://news.samsung.com/global/samsung-electronics-begins-mass-production-at-new-euv-manufacturing-line. 
  275. "Man ufacturing". Samsung. http://www.samsung.com/semiconductor/foundry/manufacturing/. 
  276. 276.0 276.1 276.2 276.3 276.4 "Samsung Electronics Begins Mass Production at New Semiconductor Plant in Pyeongtaek, South Korea". https://news.samsung.com/global/samsung-electronics-begins-mass-production-at-new-semiconductor-plant-in-pyeongtaek-south-korea. 
  277. "Samsung Is Investing $18 Billion in Memory Chip Production" (in en). Fortune. http://fortune.com/2017/07/04/samsung-memory-chip-investment/. 
  278. "Man ufacturing". Samsung. http://www.samsung.com/semiconductor/foundry/manufacturing/. 
  279. "Samsung to Invest More than $1 Billion in Texas Factory". http://technews.co/2016/11/03/samsung-to-invest-more-than-1-billion-in-texas-factory/. 
  280. 280.0 280.1 "Samsung Breaks Ground on $14 Billion Fab". EE Times. http://www.eetimes.com/document.asp?doc_id=1326565. 
  281. "Samsung Opens Largest Wafer Plant In Austin Texas". https://www.samsung.com/semiconductor/newsroom/news-events/samsung-opens-largest-wafer-plant-in-austin-texas/. 
  282. 282.0 282.1 "Manufacturing". Samsung. http://www.samsung.com/semiconductor/foundry/manufacturing/. 
  283. "Project Archive || Samsung C&T". http://www.secc.co.kr/eng/html/biz/biz_showing_view.asp?part=0001&idx=MzE5. 
  284. "News". https://www.businesswire.com/news/home/20050928006126/en/Samsung-Electronics-Launches-Second-Phase-Investment-Strategy-Hwaseong. 
  285. "About us – Our Business Overview – Samsung Semiconductor – Samsung Semiconductor Global Website". http://www.samsung.com/semiconductor/about-us/factsheet/. 
  286. Shilov, Anton. "Samsung's Multi-Billion Fab in Pyeongtaek Starts Production of 64-Layer V-NAND". http://www.anandtech.com/show/11603/samsungs-multibillion-fab-in-pyeongtaek-starts-volume-production-of-64layer-vnand. 
  287. Lee, Se Young (6 October 2014). "Samsung Electronics makes $14.7 billion bet with new South Korean...". https://www.reuters.com/article/us-samsung-elec-investment-chips/samsung-electronics-makes-14-7-billion-bet-with-new-south-korean-chip-plant-idUSKCN0HV01R20141006. 
  288. "Samsung investing $14.7 billion in new chip fabrication facility". https://www.pcworld.com/article/435655/samsung-to-invest-147-billion-in-new-fab.html. 
  289. "Summer of Samsung: A Corruption Scandal, a Political Firestorm—and a Record Profit". 27 July 2017. https://www.bloomberg.com/news/features/2017-07-27/summer-of-samsung-a-corruption-scandal-a-political-firestorm-and-a-record-profit. 
  290. Shilov, Anton. "Samsung Preps to Build Another Multi-Billion Dollar Memory Fab Near Pyeongtaek". https://www.anandtech.com/show/12498/samsung-preps-to-build-another-multibillion-dollar-memory-fab-near-pyeongtaek. 
  291. www.etnews.com (7 February 2018). "Samsung to Start Constructing Its Second Semiconductor Plant in Pyeongtaek". http://english.etnews.com/20180207200002. 
  292. Shilov, Anton. "Samsung's Multi-Billion Fab in Pyeongtaek Starts Production of 64-Layer V-NAND". https://www.anandtech.com/show/11603/samsungs-multibillion-fab-in-pyeongtaek-starts-volume-production-of-64layer-vnand. 
  293. "Samsung to begin investing in new domestic memory chip line: Yonhap". 7 February 2018. https://www.reuters.com/article/us-samsung-elec-investment/samsung-to-begin-investing-in-new-domestic-memory-chip-line-yonhap-idUSKBN1FR08M. 
  294. "Samsung Is Nearly Finished Building World's Largest Factory - Androidheadlines.com". 12 April 2017. https://www.androidheadlines.com/2017/04/samsung-nearly-finished-building-worlds-largest-factory.html. 
  295. "About Samsung Foundry ㅣ SAMSUNG FOUNDRY". https://www.samsungfoundry.com/foundry/homepage/anonymous/manufacturing.do?_mainLayOut=homepageLayout&menuIndex=04. 
  296. 296.0 296.1 "Location of Our Offices". Samsung. http://www.samsung.com/semiconductor/about-us/location/. 
  297. "Samsung to spend $7 billion on wafer fab in Xian, China". EE Times. 2012-04-03. http://www.eetimes.com/document.asp?doc_id=1261478. 
  298. "Samsung Puts 3D NAND Production Line in Xi'an into Full Operation". BusinessKorea. 2015-12-21. http://www.businesskorea.co.kr/english/news/industry/13350-3d-nand-production-samsung-puts-3d-nand-production-line-xian-full-operation. 
  299. 299.0 299.1 299.2 "Samsung LED │LED Components & Engines, Smart Lighting Solutions". http://www.samsung.com/global/business/led/sales-network/salesnetwork-detail. 
  300. "Seagate Technology Wafer Processing | Minneapolis | Mortenson". http://www.mortenson.com/minneapolis/projects/seagate-technology-wafer-processing. 
  301. "Seagate Technology Recording Head Wafer Fab Facility | International Projects | Mortenson". http://www.mortenson.com/company/international-projects/projects/seagate-technology-recording-head-wafer-fab-facility. 
  302. "Seagate's Supply Chain Excellence Recognized | Seagate" (in es-LA). Seagate.com. https://www.seagate.com/la/es/about-seagate/news/Seagate's+Supply+Chain+Excellence+Recognized/. 
  303. "Where Do Hard Drive Heads Come From?". archive.is. 2018-02-20. http://www.tomshardware.com/picturestory/476-seagate-hard-drive.html%23s8. 
  304. "Where Do Hard Drive Heads Come From?" (in en). Tom's Hardware. 2008-11-20. http://www.tomshardware.com/picturestory/476-seagate-hard-drive.html#s8. 
  305. "Contact Us". https://www.broadcom.com/company/contact/#locations. 
  306. "Cree Careers – Cree, Inc.". https://careers-cree.icims.com/jobs/4802/process-engineer-sustaining,-etch/job. 
  307. "Cree Careers – Cree, Inc.". https://careers-cree.icims.com/jobs/5094/product-engineer-manufacturing/job/. 
  308. "Infineon Technologies Austria AG". http://www.infineon.com/export/sites/default/media/regions/at/brochures/Infineon_Technologies_Austria_AG_Imagebroschuere_English.pdf. 
  309. "Infineon Technologies Dresden: At a glance". December 1, 2017. https://www.infineon.com/dgdl/IFD_Fact-Sheet_EN_2016-09_web.pdf?fileId=5546d46159d9a237015a17d9baa001ee. 
  310. "Infineon Technologies Dresden" (PDF). http://www.infineon.com/dgdl/IFD+_Broschuere2012.pdf?folderId=db3a3043134f57b0011352cc4bc20107&fileId=db3a304314dca3890115046d8cd00c33. 
  311. "Our Locations – Infineon Technologies". http://www.infineon.com/cms/en/corporate/career/our-sites/country/malaysia/kulim/index.html. 
  312. "Infineon launches Kulim fab". EE Times. http://www.eetimes.com/electronics-news/4064841/Infineon-launches-Kulim-fab. 
  313. "Infineon Technologies Eckdaten Regensburg" (PDF). http://www.infineon.com/dgdl/Rbg_d.pdf?folderId=db3a304412b91b910112baab5ed71fb4&fileId=db3a304412b91b910112baad8075224a. 
  314. AG, Infineon Technologies. "Our Locations – Infineon Technologies". https://www.infineon.com/cms/en/about-infineon/company/find-a-location/. 
  315. AG, Infineon Technologies. "Our Locations – Infineon Technologies". https://www.infineon.com/cms/en/about-infineon/company/find-a-location/. 
  316. "Introduction to the D-Wave Quantum Hardware – D-Wave Systems". https://www.dwavesys.com/tutorials/background-reading-series/introduction-d-wave-quantum-hardware. 
  317. 317.0 317.1 "Meet D-Wave – D-Wave Systems". https://www.dwavesys.com/our-company/meet-d-wave. 
  318. 318.0 318.1 318.2 "300mm Manufacturing". http://www.globalfoundries.com/manufacturing/300mm-manufacturing. 
  319. O'Shea, Dan (July 19, 2021). "GlobalFoundries unveils plan to double NY fab capacity". https://www.fierceelectronics.com/electronics/globalfoundries-unveils-plan-to-double-ny-fab-capacity. 
  320. "GLOBALFOUNDRIES to Acquire Land in Malta, NY, Positioning its Advanced Manufacturing Facility for Future Growth | GLOBALFOUNDRIES". https://www.globalfoundries.com/news-events/press-releases/globalfoundries-acquire-land-malta-ny-positioning-its-advanced. 
  321. "Fab 8 Overview". 3 May 2015. http://globalfoundries.com/manufacturing/fab-8-overview. 
  322. Admin (2015-07-01). "GlobalFoundries Completes Acquisition of IBM Microelectronics Business" (in en-US). https://gf.com/gf-press-release/globalfoundries-completes-acquisition-ibm-microelectronics-business/. 
  323. McCallum, Kevin. "GlobalFoundries Lauds Leahy for Latest Infusion of Federal Cash" (in en). https://www.sevendaysvt.com/vermont/globalfoundries-lauds-leahy-for-latest-infusion-of-federal-cash/Content?oid=36722516. 
  324. 324.0 324.1 Millington, Eric (2022-10-17). "$30 Million in Federal Funding to Advance Innovation and Production of Next-Generation GaN Chips at GlobalFoundries Fab in Vermont" (in en-US). https://gf.com/gf-press-release/30-million-in-federal-funding-to-advance-innovation-and-production-of-next-generation-gan-chips-at-globalfoundries-fab-in-vermont/. 
  325. 325.0 325.1 "300mm Wafer Fabrication". 25 December 2010. http://cnse.albany.edu/WorldClassResources/300mmWaferFabrication.aspx. 
  326. "200mm Wafer Fabrication". 25 December 2010. http://cnse.albany.edu/WorldClassResources/200mmWaferFabrication.aspx. 
  327. title="Skorpios Technologies Announces Acquisition of Novati Technologies LLC" https://www.skorpiosinc.com/company/fab/
  328. "An ITW company supporting your photonics needs worldwide. With the acquisition of International Radiation Detectors (IRD) in 2011 and the merger of Cal Sensors (CSI) in 2014.". http://optodiode.com/our-history.html. 
  329. "Infinera Wafer Fab Operator (Temp) Job in Sunnyvale, CA | Glassdoor" (in en). https://www.glassdoor.com/job-listing/wafer-fab-operator-temp-infinera-JV_IC1147442_KO0,23_KE24,32.htm?jl=2486050067&ctt=1512090702172. 
  330. "Vacature voor een functie als Wafer Fab Operator (Temp) bij Infinera …". archive.is. 2018-02-20. https://www.glassdoor.nl/job-listing/wafer-fab-operator-temp-infinera-JV_IC1147442_KO0,23_KE24,32.htm?jl=2486050067&ctt=1512090702172&countryRedirect=true. 
  331. "Silicon Wafer Services & MEMS Foundry". https://roguevalleymicrodevices.com/. 
  332. Skip, Newberry (April 17, 2019). "A speciality semiconductor fab takes root in Southern Oregon". Portland Business Journal. https://www.bizjournals.com/portland/news/2019/04/17/a-speciality-semiconductor-fab-takes-root-in.html. 
  333. Sperling, Ed (March 13, 2019). "Rogue Valley Microdevices: MEMS Foundry". https://semiengineering.com/rogue-valley-microdevices-mems-foundry/. 
  334. "Rigetti Launches Full-Stack Quantum Computing Service and Quantum IC Fab". 26 June 2017. https://spectrum.ieee.org/tech-talk/computing/software/rigetti-launches-fullstack-quantum-computing-service-and-quantum-ic-fab. 
  335. "The Quantum Computer Factory That's Taking on Google and IBM". Wired. https://www.wired.com/story/quantum-computing-factory-taking-on-google-ibm/. 
  336. "Rigetti Computing Named to MIT Technology Review's Annual 50 Smartest Companies List". PR Newswire (Press release).
  337. "NHanced Semiconductors, Inc.". July 7, 2016. https://nhanced-semi.com/. 
  338. "Polar Semiconductor, Inc – A Sanken Company". http://www.polarsemiconductor.com. 
  339. 339.0 339.1 "Soraa Inc. Fab Process Technician Job in Fremont, CA | Glassdoor" (in en). https://www.glassdoor.com/job-listing/fab-process-technician-soraa-JV_IC1147355_KO0,22_KE23,28.htm?jl=2563961585&ctt=1519099441793. 
  340. "Vacature voor een functie als Fab Process Technician bij Soraa Inc. i…". archive.is. 2018-02-20. https://www.glassdoor.nl/job-listing/fab-process-technician-soraa-JV_IC1147355_KO0,22_KE23,28.htm?jl=2563961585&ctt=1519099441793&countryRedirect=true. 
  341. "Mirrorcle Technologies moves into new HQ as a result of steady growth". https://www.cleanroomtechnology.com/news/article_page/Mirrorcle_Technologies_moves_into_new_HQ_as_a_result_of_steady_growth/98857. 
  342. "Unitec Blue :: Evolucion del Futuro". http://www.unitecblue.com.ar/planta.html. 
  343. Luciana Magalhaes. "Corporación América Buying Batista's Stake in SIX: Argentine Firm Buying 33% Stake in SIX Semicondutores". The Wall Street Journal. https://online.wsj.com/news/articles/SB10001424052702303595404579318831628991474. 
  344. SUBKARMA. "Who We Are-OPTOTECH". http://www.opto.com.tw/en/about_1.aspx#. 
  345. 345.0 345.1 345.2 "Creative Sensor Inc. – Worldwide Sites". http://www.csi-sensor.com.tw/eng/about.aspx. 
  346. "Factory & Headquarters, Nanchang Creative Sensor Technology". http://www.jjpan.com/en/portfolio/factory-headquarters-nanchang-creative-sensor-technology/. 
  347. "Headquarters Phase I, VisEra Technologies Co., Ltd.". http://www.jjpan.com/en/portfolio/headquarters-phase-i-visera-technologies-co-ltd/. 
  348. "Our Mission | Nanosystem Fabrication Facility, HKUST" (in en). http://www.nff.ust.hk/en/about-nff/our-mission.html. 
  349. "home-GTA". http://www.gtasemi.com.cn/en. 
  350. "ASMC (Advanced Semiconductor Manufacturing Corp. Ltd.)". https://isesglobal.com/members/asmc-advanced-semiconductor-manufacturing-corp-ltd/. 
  351. "Fab Information-GTA". http://www.gtasemi.com.cn/en/manufacturing/fab-info. 
  352. "Specialty Technology-GTA". http://www.gtasemi.com.cn/en/technology/power-ic. 
  353. "GTA Semiconductor Opens New USD5.1 Billion Wafer Plant". https://www.yicaiglobal.com/news/gta-semiconductor-opens-new-usd51-billion-wafer-plant. 
  354. "上海贝岭股份有限公司". https://www.belling.com.cn/. 
  355. "Company Profile -深爱半导体股份有限公司". Sisemi.com.cn. http://www.sisemi.com.cn/en_contact.aspx?id=51. 
  356. 356.0 356.1 "Company History -深爱半导体股份有限公司". http://www.sisemi.com.cn/en_contact.aspx?id=248. 
  357. "Welcome to CR Micro". https://www.crmicro.com. 
  358. 358.0 358.1 358.2 "CSMC-About". Csmc.com.cn. http://www.csmc.com.cn/csmc-8.aspx. 
  359. 359.0 359.1 359.2 359.3 万户网络. "合肥晶合集成电路有限公司" (in zh-cn). http://www.nexchip.com.cn/About/index_124.aspx. 
  360. 万户网络. "合肥晶合集成电路有限公司" (in zh-cn). http://www.nexchip.com.cn/About/index_124.aspx. 
  361. "Group Profile – About Us – About San'an – San'an Optoelectronics Co., Ltd". http://www.sanan-e.com/en/about.html. 
  362. "三安集成". https://www.sanan-ic.com/. 
  363. "Mega Fab-Sanan IC". https://www.sanan-ic.com/en/megafab. 
  364. "Hua Hong Semiconductor Limited Reports 2022 Fourth Quarter Results" (PDF) (Press release). Hua Hong Semiconductor. February 14, 2023.
  365. 365.0 365.1 365.2 "Hua Hong Semiconductor Limited Reports 2021 Fourth Quarter Results" (PDF) (Press release). Hua Hong Semiconductor. January 28, 2022.
  366. "上海华力". https://www.hlmc.cn/about_us. 
  367. "厂容厂貌 – 湘能华磊光电股份有限公司". http://www.ledcz.com/article_list_51.html. 
  368. "About Us – CanSemi Official Website". http://www.cansemitech.com/?page_id=394&lang=en. 
  369. "CanSemi completes financing of chip project phase II-Asian Metal". https://www.asianmetal.com/news/1692097/CanSemi-completes-financing-of-chip-project-phase-II/1. 
  370. Allen, Jesse (July 6, 2022). "Startup Funding: June 2022". https://semiengineering.com/startup-funding-june-2022/. 
  371. "CanSemi starts capacity expansion for analog chips at 12-inch fab". September 2022. https://www.digitimes.com/news/a20220901PD205/analog-ic-cansemi-foundry.html. 
  372. "Pyongyang University and NK: Just Do IT!". 1 November 2010. http://www.38north.org/2010/11/pyongyang-university-and-nk-just-do-it/. 
  373. 373.0 373.1 "Kodenshi". http://www.kodenshiauk.com/About/NetworkFactory. 
  374. 374.0 374.1 374.2 "Worldwide Locations – ABLIC Inc. (formerly SII Semiconductor Corp.)". http://www3.sii.co.jp/en/semicon/corp/base/. 
  375. 375.0 375.1 "About Epson Semiconductor Network". https://global.epson.com/products_and_drivers/semicon/information/network.html. 
  376. "Locations in Japan : Worldwide Office Locations : OLYMPUS". https://www.olympus-global.com/company/base/office.html. 
  377. "Olympus". Semiconductor Technology. http://www.semiconductor-technology.com/projects/olympus/. 
  378. "Olympus Corp. Places Order with Ultratech for NanoTech 160 Lithography System For Japan's First MEMS Foundry (NASDAQ:UTEK)" (in en). http://ir.ultratech.com/releasedetail.cfm?releaseid=222154. 
  379. 379.0 379.1 "Overseas | Network". https://www.shindengen.com/company/network/global/. 
  380. "Operation Sites – Company Profile – New Japan Radio(New JRC)". http://www.njr.com/corporate/support/index.html. 
  381. "Kawagoe Works Google Map – Operation Sites – Company Profile – New Japan Radio(New JRC)". http://www.njr.com/corporate/support/google_kawagoe.html. 
  382. 382.0 382.1 "Foundry Service – Products – New Japan Radio(New JRC)". http://www.njr.com/products/foundry/index.html. 
  383. 383.0 383.1 "New JRC Group Companies – Company Profile – New Japan Radio(New JRC)". http://www.njr.com/corporate/group/index.html. 
  384. "SAW Foundry – Products – New Japan Radio(New JRC)". http://www.njr.com/products/device/saw_foundry/index.html. 
  385. "株式会社エヌ・ジェイ・アール福岡 – 会社概要". http://www.njrf.co.jp/company/index.html. 
  386. 386.0 386.1 "Nisshinbo Group Companies – Company Profile – New Japan Radio(New JRC)". http://www.njr.com/corporate/associated_companies.html. 
  387. 387.0 387.1 "Plants and Sales Office Locations/NICHIA CORPORATION". http://www.nichia.co.jp/en/about_nichia/locations.html. 
  388. "Locations – Elmos Semiconductor AG". https://www.elmos.com/english/about-elmos/company/locations.html. 
  389. 389.0 389.1 "Welcome to UMS – MMICs Solutions for III-V Products, Support & Foundry Services". http://www.ums-gaas.com/company-profile.php. 
  390. 390.0 390.1 "Foundry – Ion Beam Services" (in fr-FR). Ion Beam Services. http://www.ion-beam-services.com/foundry/. 
  391. "Manufacture Facilities WITH Static with lab pic HEADER". http://www.micronsemiconductor.co.uk/manufacture-facilities-with-static-header-3/. 
  392. "Contact | CST Global" (in en). https://www.cstglobal.uk/contact. 
  393. "Vsp-mikron". http://www.vsp-mikron.com/index.html. 
  394. 394.0 394.1 "Angstrem". https://www.angstrem.ru/services/detail/kristalnoe_proizvodstvo/. 
  395. David Manners, ST, Mikron to finish 90nm jv fab this year // Electronics Weekly, 1 March 2011
  396. JSC Mikron, Experience of 90nm technology transfer and facilities upgrade // Andrey Golushko (JSC Mikron), Semicon Russia Conference, May 2012
  397. "Crocus Nano Electronics". http://crocusnano.com/en/manufacturing-technologies. 
  398. "Manufacturing at TowerJazz". http://towerjazz.com/manufacturing.html. 
  399. "TowerJazz and Tacoma Announce a Partnership for a New 8-inch Fabrication Facility in Nanjing, China". 21 August 2017. http://www.towerjazz.com/prs/2017/0821.html. 
  400. "Tower confirms Chinese fab project". 21 August 2017. http://www.eenewsanalog.com/news/tower-confirms-chinese-fab-project. 
  401. Intermediate People's Court of Nanjing City, Jiangsu Province. "Announcement". https://pccz.court.gov.cn/pcajxxw/pcgg/ggxq?id=92D9F82B27BE76363E727A909DCD1D59. 
  402. "China's Jinhua Set to Move into DRAM Market by Building Production Plant" (in en). 2016-07-19. http://english.hankyung.com/photo/2016/07/19/0827121/chinas-jinhua-set-to-move-into-dram-market-by-building-production-plant. 
  403. "About us, Jin Hua Integrated Circuit Co., Ltd., Jin Hua Integrated". http://en.jhicc.cn/comcontent_detail/i=3&comContentId=3.html. 
  404. "China's DRAM endeavor continues despite US sanctions". June 26, 2019. http://thelec.net/news/articleView.html?idxno=388. 
  405. Cimpanu, Catalin. "US bans exports to Chinese DRAM maker citing national security risk". https://www.zdnet.com/article/us-bans-exports-to-chinese-dram-maker-citing-national-security/. 
  406. "Chinese foundry HSMC gearing up for 14nm, 7nm chip production". 22 November 2019. https://www.digitimes.com/news/a20191121PD214.html. 
  407. "Hynix will close 200mm fab in Oregon | EE Times". http://www.eetimes.com/document.asp?doc_id=1168979. 
  408. "Instalarán fábrica de semiconductores". October 4, 2008. https://m.panamaamerica.com.pa/economia/instalaran-fabrica-de-semiconductores-408950. 
  409. "SemiWiki.com – A Brief History of the Fabless Semiconductor Industry" (in en). https://www.semiwiki.com/forum/content/1535-brief-history-fabless-industry.html. 
  410. "Toshiba : Press Releases 8 August, 2001". http://www.toshiba.co.jp/about/press/2001_08/pr0801.htm. 
  411. "NEC to close Livingston fab". EE Times. http://www.eetimes.com/document.asp?doc_id=1204576. 
  412. "Lfoundry continues based on Rousset fab". EE Times. 1999-02-22. http://www.eetimes.com/document.asp?doc_id=1260582. 
  413. Peter Clarke (2014-01-02). "Lfoundry Rousset fab closes with loss of 600 jobs". Electronics EETimes. http://www.electronics-eetimes.com/news/lfoundry-rousset-fab-closes-loss-600-jobs. 
  414. Peter Clarke (2014-01-02). "Lfoundry Rousset fab closes with loss of 600 jobs". Electronics EETimes. http://www.electronics-eetimes.com/news/lfoundry-rousset-fab-closes-loss-600-jobs/page/0/1. 
  415. Manners, David (October 9, 2000). "European Industry Back in the Chips". https://www.edn.com/european-industry-back-in-the-chips/. 
  416. "Britain Finds Success In Attracting Foreign High-Tech Investment". 1995-08-24. https://www.csmonitor.com/1995/0824/24091.html. 
  417. 417.0 417.1 "Siemens Semiconductor" (in English). https://www.chipsetc.com/siemens-semiconductor.html. 
  418. "Atmel closes Tyneside factory". The Engineer. October 9, 2007. https://www.theengineer.co.uk/atmel-closes-tyneside-factory/. 
  419. "QTS Plans Huge Virginia Data Center". 5 April 2010. http://www.datacenterknowledge.com/archives/2010/04/05/qts-plans-huge-virginia-data-center. 
  420. "STMicro to close 150mm fab in California, studies other actions". 19 October 2001. https://www.eetimes.com/stmicro-to-close-150mm-fab-in-california-studies-other-actions/. 
  421. "NXP Semiconductors | Automotive, Security, IoT". http://www.freescale.com/webapp/sps/site/overview.jsp?nodeId=062874425629820708. 
  422. "Freescale closes French fab". EE Times. http://www.eetimes.com/document.asp?doc_id=1262319. 
  423. "NXP Semiconductors | Automotive, Security, IoT". http://www.freescale.com/webapp/sps/site/overview.jsp?nodeId=062874425629827460. 
  424. "Agere to lay off 4,000 workers, close fab in Spain in massive restructuring of units". EE Times. 2001-06-29. https://www.eetimes.com/document.asp?doc_id=1180800. 
  425. "La fábrica de Lucent de Tres Cantos dejará de producir a finales de año" (in es). El Mundo. 2001-06-29. https://www.elmundo.es/elmundo/2001/06/29/economia/993815925.html. 
  426. "AT&T y Tres Cantos" (in es). El País. 1997-12-17. https://elpais.com/diario/1997/12/17/madrid/882361479_850215.html. 
  427. OSRTI, US EPA. "Search Superfund Site Information". https://cumulis.epa.gov/supercpad/SiteProfiles/index.cfm?fuseaction=second.topics&id=0301146. 
  428. 428.0 428.1 428.2 428.3 https://smithsonianchips.si.edu/ice/cd/PROF96/NORTHAM.PDF
  429. "Rochester, USA". https://www.onsemi.com/company/about-onsemi/locations/rochester-usa. 
  430. Harry, Stevens. "Fairchild Semiconductor to close Utah facility amid job cuts". The Salt Lake Tribune. http://archive.sltrib.com/story.php?ref=/sltrib/money/58334640-79/fairchild-company-jordan-employees.html.csp. 
  431. "Texas Instruments News Center – News Releases". http://newscenter.ti.com/index.php?s=32851&item=123114. 
  432. "MEMS wafer fab contents for sale: $5 million ONO". 25 January 2017. http://www.eenewsanalog.com/news/mems-wafer-fab-contents-sale-5-million-ono. 
  433. "Diodes to acquire FabTech, 5-inch wafer fab in Missouri". October 30, 2000. https://www.eetimes.com/diodes-to-acquire-fabtech-5-inch-wafer-fab-in-missouri/. 
  434. "Diodes To Cease Operations At Lee's Summit Wafer Fab In Q3 – Quick Facts". https://markets.businessinsider.com/news/stocks/diodes-to-cease-operations-at-lee-s-summit-wafer-fab-in-q3-quick-facts-1001750718. 
  435. "Qorvo Foundry Services – Qorvo". https://www.qorvo.com/foundry. 
  436. Mozur, Paul (2017-02-10). "Plan for $10 Billion Chip Plant Shows China's Growing Pull" (in en-US). The New York Times. ISSN 0362-4331. https://www.nytimes.com/2017/02/10/business/china-computer-chips-globalfoundries-investment.html. 
  437. "The story of Tondi Electronics and Donte.". https://www.donte.ee/ajalugu. 
  438. "RCA Solid State Division records". https://hagley-aspace-pdf.s3.amazonaws.com/2464.75.pdf. 
  439. "Intersil closing Ohio plant to phase out automotive, industrial ICs". https://www.eetimes.com/intersil-closing-ohio-plant-to-phase-out-automotive-industrial-ics/. 

Samsung capacity

External links